xref: /rk3399_ARM-atf/docs/change-log.md (revision 9e51f15ed16f0628320bf0ee45dfddad2a38d52e)
1# Change Log & Release Notes
2
3This document contains a summary of the new features, changes, fixes and known
4issues in each release of Trusted Firmware-A.
5
6## [2.10.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.9.0..refs/tags/v2.10.0) (2023-11-21)
7
8### ⚠ BREAKING CHANGES
9
10- **Architecture**
11
12  - **Performance Monitors Extension (FEAT_PMUv3)**
13
14    - This patch explicitly breaks the EL2 entry path. It is
15      currently unsupported.
16
17      **See:** convert FEAT_MTPMU to C and move to persistent register init ([83a4dae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/83a4dae1af916b938659b39b7d0884359c638185))
18
19- **Libraries**
20
21  - **EL3 Runtime**
22
23    - **Context Management**
24
25      - Initialisation code for handoff from EL3 to NS-EL1
26        disabled by default. Platforms which do that need to enable this macro
27        going forward
28
29        **See:** introduce INIT_UNUSED_NS_EL2 macro ([183329a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/183329a5847df2bc6164ac8e9dbe7de4ca92836d))
30
31- **Drivers**
32
33  - **Authentication**
34
35    - remove CryptoCell-712/713 support
36
37      **See:** remove CryptoCell-712/713 support ([b65dfe4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b65dfe40aef550ee9ef7e869749013cb7f3c4cce))
38
39### New Features
40
41- **Architecture**
42
43  - **CPU feature / ID register handling in general**
44
45    - add AArch32 PAN detection support ([d156c52](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d156c5220adb35971aafa0b0de922992e4b8aa66))
46    - add memory retention bit define for CLUSTERPWRDN ([278beb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/278beb894aeda23278a01c3c6aff1f40b8ce0a34))
47    - deny AArch64-only features when building for AArch32 ([733d112](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/733d112f05ecb29f7d8fce12c66a9721031970df))
48    - initialize HFG*_EL2 registers ([4a530b4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a530b4c6556c87deb22c027dfaf2c5d6c9997a3))
49
50  - **Memory Tagging Extension**
51
52    - adds feature detection for MTE_PERM ([4d0b663](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d0b66323b242323ff738431c523aeb6d18dd3d5))
53
54  - **Performance Monitors Extension (FEAT_PMUv3)**
55
56    - introduce pmuv3 lib/extensions folder ([c73686a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c73686a11cea8f9d22d7df3c5480f8824cfeec09))
57
58- **Platforms**
59
60  - **Allwinner**
61
62    - use reset through scpi for warm/soft reset ([0cf5f08](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0cf5f08a205e4877c9daef5d90e1086643590226))
63
64  - **Arm**
65
66    - add IO policy to use backup gpt header ([3e6d245](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3e6d245772ccb4b43f1ba6cd9d1bb8abe86a516c))
67    - ecdsa p384/p256 full key support ([b8ae689](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b8ae68908de5560436c565ac22d59c0cbfc9a7df))
68    - enable FHI PPI interrupt to report CPU errors ([f1e4a28](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1e4a28d3f9e4c5e7905f44d41c13de63d735864))
69    - reuse SPM_MM specific defines for SPMC_AT_EL3 ([5df1dcc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5df1dccd0be06cc45e82a57dc01be5b6b5d1a21b))
70    - save BL32 image base and size in entry point info ([821b01f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/821b01fa7521c0d6a0f16d02929fac3c44d14f86))
71    - add memory map entry for CPER memory region ([4dc91ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4dc91ac9069271325ffd3552a6a146256f5d0da3))
72    - firmware first error handling support for base RAMs ([5b77a0e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b77a0e6759733d8a7de86e4492bd9b8628282d5))
73    - update common platform RAS implementation ([7f15131](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f15131df42a42fef86cc594a56b6e7998dd2ba4))
74
75    - **FVP**
76
77      - add mbedtls_asn1_get_len symbol in ROMlib ([0605060](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06050601d2a0ff06f92ca30ab988cbaf4e9929a1))
78      - add public key-OID information in RSS metadata structure ([bfbb1cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bfbb1cbaac3e74da37d906c9ce1d39993dce8b66))
79      - add spmd logical partition ([5cf311f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5cf311f3a41fc114289265305a6254a8fb412c0e))
80      - allow configurable FVP Trusted SRAM size ([41e56f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/41e56f422df47b8bc1a7699ff258999f900a6290))
81      - capture timestamps in bl stages ([ed8f06d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed8f06ddda52bc0333f79e9ff798419e67771ae5))
82      - implement platform function to measure and publish Public Key ([db55d23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/db55d23d34b687cf6ce79c0723fedf10ef7227be))
83      - increase BL1 RW area for PSA_CRYPTO implementation ([ce18938](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce189383dc816cf1a48c1a94329c00f44d8acdc3))
84      - mock support for CCA NV ctr ([02552d4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02552d45e526766e000f3e3ae91ef381d402dab1))
85      - new SiP call to set an interrupt pending ([2032401](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/20324013b17706751ecdd68f57c0ab95c522ca7e))
86      - spmd logical partition smc handler ([a1a9a95](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a1a9a950713468a734ef3d8da210baf97f7c1071))
87
88    - **Juno**
89
90      - add mbedtls_asn1_get_len symbol in ROMlib ([ec8ba97](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ec8ba97e4ffde486670cb5a22ec4aac01409d92e))
91
92    - **Morello**
93
94      - add cpuidle support ([4f7330d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4f7330dc78ee620b8564a4bbc1ca2f2ae4cd1d9e))
95      - add support for I2S audio ([6bcbe43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6bcbe437909d3779111e19774f911c625e98f1b3))
96      - add TF-A version string to NT_FW_CONFIG ([f4e64d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4e64d1f5e8277013c35dbd8e056b8071942f759))
97      - fdts: add CoreSight DeviceTree bindings ([3e6cfa7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3e6cfa7bd05521935c7753401dad823d044bfa23))
98      - set NT_FW_CONFIG properties for MCC, PCC and SCP version ([10fd85d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10fd85d8f4a8f338942616ed403a1e02a388a16f))
99
100    - **RD**
101
102      - **RD-N2**
103
104        - enable base element RAM RAS support on RD-N2 platform ([0288632](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02886326659db3e4f46c0abd10be91a2de82cc90))
105        - add defines needed for spmc-el3 ([b4bed4b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b4bed4b769e907c8431b07f698da24660dfe0059))
106        - add plat hook for memory transaction ([f99dcba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f99dcbace7015169ac5d230b8007686d144962fb))
107        - enable Neoverse N2 CPU error handling support ([e802748](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e80274880bf694fd0b0e869a6ceb67e95e547544))
108        - introduce accessor function to obtain datastore ([f458934](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f45893426546703d9e21970889e6333ca30c0dd7))
109        - introduce platform handler for Group0 interrupt ([c47d049](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c47d0491ed078cfa8ca400e182fd4a44acd8041a))
110
111    - **SGI**
112
113      - remove RAS setup call from common code ([0f5e8eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0f5e8eb4536e27f5fd99b1367b18710927b014b9))
114      - firmware first error handling for Neoverse N2 CPU ([31d1e4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31d1e4ff8dd70dc0094ff44df0c1844d27430e77))
115      - increase sp memmap size ([7c33bca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c33bcab5973fb73b8278c674677663f5109948e))
116
117    - **TC**
118
119      - define memory ranges for tc platform ([9be6b16](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9be6b168fb482835a13ad39e7567721f74d513f9))
120      - implement platform function to measure and publish Public Key ([eee9fb0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eee9fb02f7b2c29befa27a0f2f0b6cb966f6d7c5))
121      - deprecate Arm TC1 FVP platform ([6a2b11c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a2b11c29da50eed969834f6c6ee97cdb90cb51e))
122
123  - **Aspeed**
124
125    - **AST2700**
126
127      - add Aspeed AST2700 platform support ([85f199b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85f199b774476706b21f793503b36d861cab0a14))
128
129  - **Intel**
130
131    - add intel_rsu_update() to sip_svc_v2 ([e3c3a48](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e3c3a48c85dd1478e311e2e773a22fecfda69ec5))
132    - ccu driver for Agilex5 SoC FPGA ([02df499](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02df49900006ed44b4a0c239299dd45ca8509c17))
133    - clock manager support for Agilex5 SoC FPGA ([1b1a3eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b1a3eb1edff99b49bb40ad4172073d04a230938))
134    - cold/warm reset and smp support for Agilex5 SoC FPGA ([79626f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/79626f460f115cc32b0dbeb48e72828d2dbf662a))
135    - ddr driver for Agilex5 SoC FPGA ([29461e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/29461e4c880235532385c01f202e638fb5ba11de))
136    - mailbox and SMC support for Agilex5 SoC FPGA ([8e59b9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8e59b9f42374aaa641409b6469c8fe9245a33107))
137    - memory controller support for Agilex5 SoC FPGA ([18adb4e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18adb4efa42946252b489d02f06cccb61ad0c867))
138    - mmc support for Agilex5 SoC FPGA ([4a577da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a577da6612ef6584695311e687ca00c57d68d53))
139    - pinmux, peripheral and Handoff support for Agilex5 SoC FPGA ([fcbb5cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fcbb5cf7eadb8b048149941b08f09d04a860fee0))
140    - platform enablement for Agilex5 SoC FPGA ([7931d33](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7931d3322dc137447981d261e900f5a62d2181ee))
141    - power manager for Agilex5 SoC FPGA ([a8bf898](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a8bf898f02185ed838d8039949800843146ab245))
142    - reset manager support for Agilex5 SoC FPGA ([9b8d813](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b8d813cc96173ce8ab7634dea17fb7f89b21626))
143    - restructure sys mgr for Agilex ([6197dc9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6197dc98feba98c3e123256424d2d33d5de997b8))
144    - restructure sys mgr for S10/N5X ([b653f3c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b653f3caf0f5e624604564c8c89ac8f4b450ba20))
145    - sdmmc/nand/combo-phy/qspi driver for Agilex5 SoC FPGA ([ddaf02d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ddaf02d17142187d9f17acd4900aafa598666317))
146    - setup SEU ERR read interface for FP8 ([91239f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/91239f2c05c5df041e4a570a9d29c0ccbc34269a))
147    - system manager support for Agilex5 SoC FPGA ([7618403](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7618403110dad81c84822332225a7a687dc7f684))
148    - uart support for Agilex5 SoC FPGA ([34971f8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34971f816a777df5afb6672990b9eceda60e84b7))
149    - vab support for Agilex5 SoC FPGA ([4754925](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4754925057b27d5992d4c913276602666d303b01))
150
151  - **MediaTek**
152
153    - add APU bootup control smc call ([94a9e62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94a9e6243e3978b42017639dad93481267bcf6e4))
154    - add APU watchdog timeout control ([baa0d45](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/baa0d45ced6b058681ade9213e30ab0e91f4f4fb))
155
156    - **MT8188**
157
158      - add apusys ao devapc setting ([777e3b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/777e3b71bb0a37f98b4105af657d97c2afc2d0bc))
159      - add backup/restore function when power on/off ([233d604](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/233d604f500b5693b0caa6bcfdf0e2f766fd4cbd))
160      - add devapc setting of apusys rcx ([5986ae5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5986ae57aa4468b392d0f5fcb8b5bc04388fa3e2))
161      - add DSB before udelay ([b254b98](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b254b9815ee25c90264a2305940bc575910f55e4))
162      - add emi mpu protection for APU secure memory ([176846a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/176846a50b73267ff787432f74a1d9607b57ed20))
163      - add EMI MPU support for SCP and DSP ([013006f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/013006f1f889f5869502147af464e38619459463))
164      - add support for SMC from OP-TEE ([34d9d61](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34d9d619f1c58549736b63aa5c5cddd7f171762e))
165      - enable apusys domain remap ([b5900c9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b5900c92a1579371ea6f40199c70673beb08b1ac))
166      - enable apusys mailbox mpu protect ([ad7673a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad7673adef9bc5eaeef333ecaca8e85e82abe342))
167      - increase TZRAM_SIZE from 192KB to 256KB ([aa1cb27](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aa1cb279b62d82e3d6e7b6ec17b9eb71d598497e))
168      - modify APU DAPC permission ([d06edab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d06edabfd14e0d196139fb1c780017f34366ae0d))
169      - update return value in mtk_emi_mpu_sip_handler ([d07eee2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d07eee245b3fcc6b276969df34dc63ded1d4c8a2))
170
171    - **MT8195**
172
173      - increase TZRAM ([4f79b67](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4f79b67250641f67327c3e351d2f8339e8fd2d26))
174
175  - **NXP**
176
177    - **i.MX**
178
179      - add dummy 'plat_mboot_measure_key' function ([b9bceef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b9bceef8eebf5c0f7f213921cca885a3f3c64ec1))
180
181      - **i.MX 8M**
182
183        - add more dram pll setting ([8947404](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/89474044a59d74cc088eb09292e99a3ca623fe33))
184        - detect console base address during runtime ([df730d9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/df730d94cb5850683371dd695e242a0c3817f070))
185        - enable snvs privileged registers access ([8d150c9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8d150c9524b1459b61c9d881100e20da827c1bd0))
186        - move the gpc reg & macro to a separate header file ([2a6ffa9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a6ffa99afb6091110231381d1263407e9d88c3f))
187
188        - **i.MX 8M Nano**
189
190          - add workaround for errata ERR050362 ([8562564](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85625646692597ba8a1829efaadf56163450efaf))
191
192      - **i.MX 9**
193
194        - **i.MX93**
195
196          - add cpuidle and basic suspend support ([422d30c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/422d30c626beef689967b56d26a68f029e7b7cf9))
197          - add OPTEE support ([27a0be7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/27a0be77a064cbc87aaefecbf45fe0a2b133b188))
198          - add reset & poweroff support ([cf7ef4c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf7ef4c762ddb573ffb6f1f434c04fdc52f6c2cf))
199          - add the basic support ([2368d7b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2368d7b157c169b84bc46d3d8a57d080507e81bd))
200          - add the trdc driver ([2935291](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2935291009c2933714a027b7b5cd1c8e41f70aff))
201          - allow SoC masters access to system TCM ([3d3b769](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d3b769a7c112bff9468dbb21e36ce44125a72c0))
202          - protect OPTEE memory to secure access only ([f560f84](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f560f843bdc0e33ef47918a6c10676fa6aff95ac))
203          - update the ocram trdc config for did10 ([eb76a24](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eb76a2416a9bd5239db7b55d846bd2a16eec417a))
204
205  - **QEMU**
206
207    - add sdei support for QEMU ([cef76a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cef76a7c5df7056cb73667e4e0b83d022e1b50fa))
208    - add "cortex-a710" cpu support ([4734a62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4734a62d2c22f5b6a1e2b0369248d42fb9eddd1b))
209    - add "neoverse-n2" cpu support ([408f9cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/408f9cb485796a73c5b87da70644665a13c685e4))
210    - add "neoverse-v1" cpu support ([6d8d7d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6d8d7d2380d5120b3235c6f00eddcab126c3d648))
211    - add "neoverse-v1" cpu support ([214de62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/214de62c92b2fc4b7edda9d9d637b7a4c0ba1fa5))
212    - add A55 cpu support for virt ([409c20c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/409c20c84dcfa61de68754152f331a7277609fb2))
213    - add dummy plat_mboot_measure_key() BL1 function ([8e2fd6a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8e2fd6a84b17fde92cef48ecaccdc3b666ef0588))
214    - add dummy plat_mboot_measure_key() function ([f0f11ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0f11acd86650da04a41298acbf4ae38b7e25894))
215    - implement firmware handoff on qemu ([322af23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/322af23445fe7a86eaad335b8a0f2ed523f5c1df))
216
217    - **SBSA**
218
219      - handle platform version ([c681d02](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c681d02c6ce2652307a4fcef16bd5626135dfad9))
220      - handle GIC base ([1e67b1b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1e67b1b17a1692dd653d31016ccd8fa18b5f8f67))
221      - handle GIC ITS address ([4171e98](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4171e981d13e6aa764c2520a2b513beafe449818))
222
223  - **QTI**
224
225    - **MSM8916**
226
227      - add port for MDM9607 ([78aac78](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/78aac78ad246ac8a04e1946bb9cd41b5734ba909))
228      - add port for MSM8909 ([cf0a75f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf0a75f04df8e90c7958304e6e0499a7d2e2519c))
229      - add port for MSM8939 ([c28e96c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c28e96cd52f8fbdbbfd0bbc8bacef353ac65bfd6))
230      - add SP_MIN port for AArch32 ([45b2bd0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/45b2bd0acbf4678eb59d36eb0db7746f5286a868))
231      - add Test Secure Payload (TSP) port ([6b8f9e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b8f9e16a7849852abaf190f96130462f70eae17))
232      - allow selecting which UART to use ([aad23f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aad23f1a2c109fb853e498c17fa1e97fbdb6522c))
233      - clear CACHE_LOCK for MMU-500 r2p0+ ([d9b0442](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d9b04423cfbf18cb510fb8e65ad02e7a1f4fe873))
234      - initialize CCI-400 for multiple clusters ([1240dc7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1240dc7ef11e850bdf7a4e66de3d858e26555842))
235      - power on L2 caches for secondary clusters ([c822d26](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c822d26506a589d4fa017246eeb83627f2efb554))
236
237  - **ST**
238
239    - add RCC registers list ([4cfbb84](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4cfbb84aeb361d8e4d72f0b0652d02918168b55e))
240    - allow AARCH64 compilation for common code ([dad7181](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dad718169815f7cec09144b770fc66c6d9c58d17))
241    - introduce new platform STM32MP2 ([35527fb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/35527fb41829102083b488a5150c0c707c5ede15))
242    - support gcc as linker ([7762531](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7762531216a599d98dcf88aef8f8e980e0db90ed))
243    - update STM32MP DT files ([4c8e8ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4c8e8ea772905c1420720a900dd3e7d94eefbc7e))
244
245    - **STM32MP1**
246
247      - add FWU with boot from NOR-SPI ([dfbadfd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dfbadfd96b6f3d383e8f1c3c8b0c91ca2110ea2e))
248
249      - **STM32MP15**
250
251        - disable OP-TEE shared memory ([fb1d3bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb1d3bd9330ce70f735a344dd4223faffb261118))
252
253    - **STM32MP2**
254
255      - add console configuration ([87a940e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/87a940e027dd11d0ec03ec605f205374b18361ba))
256      - generate stm32 file ([e5839ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e5839ed79e34b8aa8c7c94da8c79e8ee8a7467df))
257
258  - **Texas Instruments**
259
260    - add TI-SCI query firmware capabilities command support ([7ab7828](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7ab782801f8c78ae6a8293d25cad687c86a4ac4e))
261    - query firmware for suspend capability ([ce1008f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce1008fef1ace613bc36886fd1627164edfef245))
262    - remove extra core counts in cluster 2 and 3 ([e986845](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9868458e6de2ffb3c08e2fafa444a812b895337))
263
264  - **Xilinx**
265
266    - add support to get chipid ([0563601](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0563601f03f0404bbc57464d3458c07614f920ca))
267    - clean macro names ([bfd0626](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bfd0626554374dd94a0105a5633df0afeae731b1))
268    - fix IPI calculation for Versal/NET ([69a5bee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/69a5bee4c3633fd963d97f90f3a98e95a640d2da))
269    - move IPI related macros to plat_ipi.h ([b2258ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b2258ce30cf720d71b1022c9cbee135c879027c5))
270    - remove crash console unused macros ([473ada6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/473ada6be65af7fdad85845336f42ed481eea11b))
271    - setup local/remote id in header ([068b0bc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/068b0bc6e39f1fc18f9450619942c711f860a7e2))
272    - switch boot console to runtime ([9c1c8f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9c1c8f010143e179dee76381f3796f3801e6d220))
273    - sync macro names ([04a4833](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/04a483359fef61353d95619e84ec6b495b27adfb))
274    - used console also as crash console ([3e6b96e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3e6b96e869238f21c8887b835c3bfed487dbe653))
275
276    - **Versal**
277
278      - add support for SMCC ARCH SOC ID ([079c6e2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/079c6e2403fd07db2b41f7c6e7e8c568467a2c6b))
279      - add tsp support ([7ff4d4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7ff4d4fbe58273541da86fa72786d4bd4604be9a))
280      - ddr address reservation in dtb at runtime ([56d1857](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56d1857efc21cff5e75aa65bba21e333a8552d04))
281      - enable assertion ([0375188](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0375188a3e114edf62a732e80ea0f08dde3bf0b0))
282      - retrieval of console information from dtb ([7c36fbc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c36fbcc13793899390a01a9b4a623ff2fbf7ee1))
283
284      - **Versal NET**
285
286        - add cluster check in handoff parameters ([01c8c6a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/01c8c6a5542fbf09fa91bbdbc95b735bbc9f02d7))
287        - add support for SMCC ARCH SOC ID ([1873e7f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1873e7f7d879c3d0aba54c3785df534b9a7037b7))
288        - add the IPI CRC checksum macro support ([ba56b01](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ba56b012c8ba8e5c4e6f77ab8a921e494d040a44))
289        - add tsp support ([639b367](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/639b3676cc30dcf3e3e4d478906e7f7f37a7f1e4))
290        - ddr address reservation in dtb at runtime ([46a08aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/46a08aab4c56ad9e3f57b127a02fead1e6b8cf38))
291        - enable assertion ([80cb4b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/80cb4b14049c01df9a57cad9d1b94b10f904462f))
292        - get the handoff params using IPI ([a36ac40](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a36ac40c4e93e56380374301f558f508ad2cbf96))
293        - remove empty crash console setup ([6a14246](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a14246ad469664b56f1fdb111433515ffcccaf6))
294        - retrieval of console information from dtb ([a467e81](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a467e813a362fae69484e70ecb26fd8b14489d38))
295
296    - **ZynqMP**
297
298      - enable assertion ([2243ba3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2243ba3c38ae5bab894709a4e98f188815398ef1))
299      - remove pm_ioctl_set_sgmii_mode api ([7414aaa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7414aaa1a1e31df66866f0e1c97ba7c9add2427f))
300      - retrieval of console information from dtb ([3923462](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3923462239c9e54088bd5b01fd5df469b2758582))
301
302  - **Nuvoton**
303
304    - added support for npcm845x chip ([edcece1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/edcece15c76423832fc1ffdb255528bf4c719516))
305
306- **Bootloader Images**
307
308  - **BL2**
309
310    - add gpt support ([6ed98c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ed98c45db01023d52a47eb4ede0ffb44de85f00))
311
312  - **BL31**
313
314    - reuse SPM_MM specific defines for SPMC_AT_EL3 ([f5e1bed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f5e1bed2669cce46a1d7c6b8d3f8f884b4d589b3))
315
316  - **BL32**
317
318    - print entry point before exiting SP_MIN ([94e1be2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94e1be2b2918d8e70ac33cc8551e913d75e86398))
319
320- **Services**
321
322  - **RME**
323
324    - save PAuth context when RME is enabled ([13cc1aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/13cc1aa70a666bc8f768569e5481b3daf499b7d1))
325
326    - **RMMD**
327
328      - enable SME for RMM ([f92eb7e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f92eb7e261bdaea54c10ad34451a7667a6eb4084))
329      - pass SMCCCv1.3 SVE hint bit to RMM ([6788963](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/678896301b807cb1130ca27fa53acc66d57b855e))
330
331    - **RMM**
332
333      - update RMI VERSION command as per EAC5 ([ade6000](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ade6000ff0b3aa41d581d5738ce42f5ea4d3b77d))
334
335  - **SPM**
336
337    - separate StMM SP specifics to add support for a S-EL0 SP ([549bc04](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/549bc04f148f3b42ea0808b9ab0794a48d67007d))
338
339    - **EL3 SPMC**
340
341      - add a flag to enable support to load SEL0 SP ([801cd3c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/801cd3c84a7bb8a66c5a40de25e611ec6448239c))
342
343    - **SPMD**
344
345      - add partition info get regs ([0b850e9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b850e9e7c89667f9a12d49492a60baf44750dd9))
346      - add spmd logical partitions ([890b508](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/890b5088203e990d683a9c837e976be62c6501aa))
347      - el3 direct message API ([66bdfd6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66bdfd6e4e6d8e086a30397be6055dbb04846895))
348      - get logical partitions info ([95f7f6d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95f7f6d86a6aadc9d235684fd1aa57ddc4c56ea9))
349
350  - **ERRATA ABI**
351
352    - add support for Cortex-X3 ([9c16521](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9c16521606b1269ef13a69ec450b8d14ef92bde9))
353
354- **Libraries**
355
356  - **CPU Support**
357
358    - add a concise way to implement AArch64 errata ([3f4c1e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3f4c1e1e7b976e6950cbcc4ddf8c32e989d837ac))
359    - add a way to automatically report errata ([4f748cc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4f748cc44cb12160dfca86d94a1075f38f7c99e4))
360    - add errata framework helpers ([445f7b5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/445f7b5191992c760e1089f566b94473a0432a1e))
361    - add more errata framework helpers ([94a75ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94a75ad456a8bda75ca1e4343f00be249a201a69))
362    - add support for Gelas CPU ([02586e0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02586e0e28e590fbc5e8461cfdc03db08485c14f))
363    - add support for hermes cpu ([a00e907](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a00e907696dd7dcae9ec221ea4ee49d4179a8e2a))
364    - add support for Nevis CPU ([5497958](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/549795895cae55b11c1a7ce522aa6740de863fb4))
365    - add support for Travis CPU ([a0594ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0594add2e2661a1b1e1f392bf015687004197bb))
366    - conform DSU errata to errata framework PCS ([ee6d04d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ee6d04d449d7a23840bab00f3d3ffd88c6c7bca6))
367    - make revision procedure call optional ([4d22b0e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d22b0e5ba01b423f9f5200e4702750102635145))
368    - wrappers to propagate AArch32 errata info ([34c51f3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34c51f327d47653637cf3604b4cd20819e795f25))
369
370  - **EL3 Runtime**
371
372    - modify vector entry paths ([d04c04a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d04c04a4e8d968f9f82de810a3c763474e3faeb7))
373
374    - **RAS**
375
376      - reuse SPM_MM specific defines for SPMC_AT_EL3 ([6e92a82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e92a82c81d2b0e49df730f68c8312beec1d3b48))
377      - use FEAT_IESB for error synchronization ([6597fcf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6597fcf169fa548d40f1e63391d12d207c491266))
378
379  - **Translation Tables**
380
381    - detect 4KB and 16KB page support when FEAT_LPA2 is present ([bff074d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bff074dd941d4fb51d6abade5db4b636f977d6f7))
382
383  - **C Standard Library**
384
385    - add %X to printf/snprintf ([483edc2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/483edc207a533a5eaf07fa1e2c47f29f1dc64e4a))
386    - implement memcpy_s in lib ([f328bff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f328bff667c12099e82de6e94f3775a124ee78c7))
387
388  - **PSA**
389
390    - interface with RSS for retrieving ROTPK ([50316e2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/50316e226fbbe30b5eb4121225958a9b63e58bb1))
391
392  - **Firmware Handoff**
393
394    - introduce firmware handoff library ([3ba2c15](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3ba2c15147cc0c86342a443cd0cbfab3d2931c06))
395    - port BL31-BL33 interface to fw handoff framework ([94c90ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94c90ac8168f4e257b67e138a53a2dbc612e4194))
396
397- **Drivers**
398
399  - **Authentication**
400
401    - add CCA NV ctr to CCA CoT ([e3b1cc0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e3b1cc0c51c7b0bae6abd81e15e4c2a00442c5db))
402    - add explicit entries for key OIDs ([0cffcdd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0cffcdd617986f0750b384620f5b960059d91fc9))
403    - create a zero-OID for Subject Public Key ([9505d03](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9505d03e368d8e620c4defeb53dad846d5bc7e62))
404    - ecdsa p384 key support ([557f7d8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/557f7d806a62a460404f8d1bec84c9400585930b))
405    - measure and publicise the Public Key ([9eaa5a0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9eaa5a09ed5805ec6423bc751b4254fba19090c1))
406
407    - **mbedTLS**
408
409      - update to 3.4.1 ([e686cdb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e686cdb450bbf01d42850457f83e45208a2655f8))
410      - add deprecation notice ([267c106](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/267c106f02e6996071985adbe695406a4978e97f))
411
412    - **mbedTLS-PSA**
413
414      - initialise mbedtls psa crypto ([4eaaaa1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4eaaaa19299040cfee0585d7daa744dee716d398))
415      - introduce PSA_CRYPTO build option ([5782b89](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5782b890d29646924d8bd3f46acdc73a6e02feb2))
416      - mbedTLS PSA Crypto with ECDSA ([255ce97](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/255ce97d609a93ab5528a653735abc46c2627e8f))
417      - register an ad-hoc PSA crypto driver ([38f8936](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/38f893692ad9b8edb5413f4b2b9cd15a9b485685))
418      - use PSA crypto API during hash calculation ([484b586](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/484b58696d627c68869d86e2c401a9088392659e))
419      - use PSA crypto API during signature verification ([eaa62e8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eaa62e825e31fb22a6245d9a5ab9cf5c9f8c0e46))
420      - use PSA crypto API for hash verification ([2ed061c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2ed061c43525b8a9cd82b38d31277a8df594edd5))
421
422  - **Measured Boot**
423
424    - introduce platform function to measure and publish Public Key ([2971bad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2971bad8d48c6f0ddb7436efd16375bd72ade6bd))
425
426  - **GUID Partition Tables Support**
427
428    - add interface to init gpt ([f08460d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f08460dc085283f25fd6b5df792f263ccdf22421))
429    - add support to use backup GPT header ([ad2dd65](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad2dd65871b4411c735271f98a4fa5102abb2a00))
430
431  - **Arm**
432
433    - **Ethos-N**
434
435      - update npu error handling ([4796d2d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4796d2d9bb4a1c0ccaffa4f6b49dbb0f0304d1d1))
436
437    - **RSS**
438
439      - set the signer-ID in the RSS metadata ([60861a0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/60861a04e06d98ba6a9ae984cc5565f064fac9d1))
440
441  - **ST**
442
443    - **Clock**
444
445      - allow aarch64 compilation of STGEN functions ([b1718c6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b1718c6382cff096c46dd216b5c99586eb303d29))
446      - stub fdt_get_rcc_secure_state ([19c3808](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/19c38081d3cbb4062d8894e6c3ec3c4e1d01a767))
447
448    - **UART**
449
450      - add AARCH64 stm32_console driver ([c6d070c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c6d070cdba2c9a37b2253354f4cc3ba7e127e35d))
451
452- **Miscellaneous**
453
454  - **AArch64**
455
456    - add stack debug information to assembly routines ([f832885](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f8328853031ab6dfc57059ff181138babc7779a0))
457
458  - **DT Bindings**
459
460    - add the STM32MP2 clock and reset bindings ([3ccb708](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3ccb708ecede0858c3c8633942dd9ceec1511fa5))
461
462  - **FDTs**
463
464    - **Morello**
465
466      - add thermal framework ([0b22160](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b221603e909cd493feeaab96d9c6f5458c628a8))
467
468    - **STM32MP2**
469
470      - add stm32mp257f-ev1 board ([9aa5371](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9aa5371f2fde18ed9ef466f3ee08e599bcdca2dd))
471      - introduce stm32mp25 pinctrl files ([2c62cc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c62cc4a879b3ca5414227a2ddcd965814f3d112))
472      - introduce stm32mp25 SoCs family ([0dc283d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0dc283d29e4d962553046ea7ba30e90ea64f6d3d))
473
474  - **TBBR**
475
476    - add image id for backup GPT ([1051606](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1051606c3df3b5a0ebd4e4dad1e5e4a57e2f4d69))
477    - update PK_DER_LEN for ECDSA P-384 keys ([c1ec23d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c1ec23dd60954582a9b5dd49e85b092e9ece0680))
478
479- **Documentation**
480
481  - introduce STM32MP2 doc ([ee5076f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ee5076f9716591333f1f5aa73b02c130c57917db))
482  - save BL32 image base and size in entry point info ([31dcf23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31dcf2345172de50b098d7a080c65ee6faa87df8))
483  - add a threat model for TF-A with Arm CCA ([4463541](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/446354122cea54255630d250064f5f889045acb0))
484  - cover threats inherent to receiving data over UART ([348446a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/348446ad2a836f7fa0ab05cdf6142342a1c4a4b3))
485  - add a section for experimental build options ([4885600](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/48856003bfaf8c8d0ce7b29e2e1262f7f1dfbb5d))
486
487- **Build System**
488
489  - include plat header in fdt build ([e03dcc8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e03dcc8f5ee2c2c48732745c5c364951eb36ceec))
490  - manage patch version in Makefile ([055ebec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/055ebeca1b642ae69885a95e3c102f95d567a11e))
491  - march option selection ([7794d6c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7794d6c8f8c44acc14fbdc5ada5965310056be1e))
492  - pass CCA NV ctr option to cert_create ([0f19b7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0f19b7aada428e0ca69d27ab016928b8fbc64a79))
493  - .gitignore to include memory tools ([82257de](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/82257de06df2f744b12907079d5224bd56704de1))
494  - allow gcc linker on Aarch32 platforms ([cfe6767](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cfe6767f7dd483f1bd76b2ba88a75809e013c5bd))
495  - bump certifi to version 2023.7.22 ([6cbf432](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cbf43204f3ca7cc6db621652da182743748af3f))
496  - convert tabs and ifdef comparisons ([72f027c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72f027c335a9e20e479e0d684132401546685616))
497  - convert tabs to spaces ([1ca73b4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ca73b4f4a0f6929a6649b4eb12e4ce45644a892))
498  - disable ENABLE_FEAT_MPAM for Aarch32 ([a07b459](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a07b4590dd06c9e27ec6d403003bcf55afa9dc27))
499  - include Cortex-A78AE cpu file for FVP ([b996db1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b996db168dcdac89245bb2cb60212e3e1b3ad061))
500  - pass parameters through response files ([430be43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/430be4396bbf779c9d2cac0ed8fefd07c7b8fde2))
501  - remove duplicated include order ([c189adb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c189adbd5559a31078749fd3ddd483337ad609f6))
502  - remove handling of mandatory options ([1ca902a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ca902a537d622b9f7f53f872586120ae75e2603))
503
504- **Tools**
505
506  - **Firmware Image Package Tool**
507
508    - add ability to build statically ([4d4fec2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d4fec281861066ab2249bc3db7c2decdd176f34))
509
510  - **Secure Partition Tool**
511
512    - generate `ARM_BL2_SP_LIST_DTS` file from `sp_layout.json` ([20629b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/20629b3153bccdda32116ed5c4861e61fa1fba95))
513
514  - **Certificate Creation Tool**
515
516    - add new option for CCA NV ctr ([60753a6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/60753a63290e255d6c4d34d0145ac00e8d69c9cf))
517    - add pkcs11 engine support ([616b3ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/616b3ce27d9a8a83a189a16ff6a05698bc6df3c8))
518    - ecdsa p384 key support ([c512c89](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c512c89cde91f10e1b283522ac956fa4da85a797))
519
520  - **Memory Mapping Tool**
521
522    - add tabular memory use data ([d9d5eb1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d9d5eb138ded8d4abeaf0cd1341ddf451aa299b8))
523    - add topological memory view ([cc60aba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc60aba227e74a171c924146a0b745450af72f3d))
524
525### Resolved Issues
526
527- **Architecture**
528
529  - **CPU feature / ID register handling in general**
530
531    - move nested virtualization support to optionals ([8b2048c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8b2048c1c019d799d1806926724c2fbbc399c4c1))
532
533  - **Memory Partitioning and Monitoring (MPAM) Extension (FEAT_MPAM)**
534
535    - refine MPAM initialization and enablement process ([edebefb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/edebefbcbc01f4ab67a7838e0191736fd9ee0192))
536
537  - **Performance Monitors Extension (FEAT_PMUv3)**
538
539    - make MDCR_EL3.MTPME=1 out of reset ([33815eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33815eb7194e662169676b2ce88ee4785aac9ccd))
540
541- **Platforms**
542
543  - register PLAT_SP_PRI only if not already registered ([bf01999](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf01999aba3949e810b7c66d3a164c4e3a964bf8))
544
545  - **Arm**
546
547    - add Event Log area behind Trustzone Controller ([d836df7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d836df71ea50e0863f7858f71b06653058e64140))
548    - correct the SPMC_AT_EL3 condition ([a0ef1c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0ef1c0ef030e8fee8ad8f8a5f4a0fa911403a7c))
549    - fix GIC macros for GICv4.1 support ([f1df8f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1df8f10c6906519c54483f1f7a67f5cc507ec31))
550    - add RAS_FFH_SUPPORT check for RAS EHF priority ([1c01284](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1c012840cab6529edbbc1bc7e3bcba11477a6955))
551    - do not program DSU CLUSTERPWRDN register ([3209b35](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3209b35d2a372e71b96f3efbd7631d32518dc9b7))
552
553    - **FPGA**
554
555      - enable CPU features required for ARMv9.2 cores ([b321c24](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b321c243423b9341bc04e839a795ff31247eacd5))
556
557    - **FVP**
558
559      - adjust BL2 maximum size as per total SRAM size ([965aace](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/965aacea919525baa03308a5a08205e506be0bf4))
560      - adjust BL31 maximum size as per total SRAM size ([24e224b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24e224b41cc6fda4b507861cf8e409d8e4a3f7cd))
561      - conditionally increase XLAT and MMAP table entries ([03cf4e9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/03cf4e9aad2774ce221ccfe6f345ffcc8aabee4a))
562      - extract core id from mpidr for pwrc operations ([70bc744](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70bc74441b9901ee91ebb32be1def1e645374488))
563      - increase maximum MMAP and XLAT entries count ([12fe591](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12fe591b3e05255c167c5a9e21eaac2a9946f55c))
564      - increase the maximum size of Event Log ([f1dfaa4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1dfaa42cf1a93523501ce694260d88acee7c0c0))
565      - resolve broken workaround reference ([bcb3ea9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bcb3ea92f8626e48340bd65c7c3007953e0ee8f4))
566      - update pwr_domain_suspend ([f51d277](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f51d277de3e5f84eafafb32596ca0b154d11c4d5))
567      - update system suspend in OS-initiated mode ([e0ef05b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0ef05bb2c260e0441186dd8647dea531bb1daf3))
568
569    - **Morello**
570
571      - configure platform specific secure SPIs ([80f8769](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/80f8769b26efcbce842d0ed62950603dfd83ef9b))
572
573    - **N1SDP**
574
575      - configure platform specific secure SPIs ([7b0c95a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7b0c95abc8e399a4a676647f4cffffa7ed21b3e6))
576      - fix spi_ids range for n1sdp multichip boot ([31f60a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31f60a968347497562b0129134928d7ac4767710))
577
578    - **SGI**
579
580      - update PLAT_SP_PRI macro definition ([6f689a5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6f689a51a577f740b341744e62c667733a79df94))
581
582    - **TC**
583
584      - Correct return type ([b0542b5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b0542b58ca77b922cf879dfb7d38356b32399c56))
585      - rename macro to match PSA spec ([1fc20d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1fc20d7f523e5c4bafb23584b1309ca432307ea4))
586
587    - **Corstone-1000**
588
589      - add cpu_helpers.S to platform.mk ([cb27274](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cb27274c9964deab3b613a48c1f293c122126ee5))
590      - modify boot device dependencies ([3ff5fc2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3ff5fc2b35638afea2fad3cd0c76dcadc1adb8c2))
591      - removing the signature area ([5856a91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5856a91a641a4cd7403143bb90b098855a77ac16))
592
593  - **Aspeed**
594
595    - **AST2700**
596
597      - add device mapping for coherent memory ([cef2e92](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cef2e92568045da4e1d26a9ebfb38b0176b4ec33))
598
599  - **Broadcom**
600
601    - fix misspelled header inclusion guard ([a9779c1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a9779c11daa251abb9c523b4e01e6ef26c7d46fc))
602
603  - **Cadence**
604
605    - update console flush uart driver ([e27bebb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e27bebb0fe84bf58eed1fb61a65da9280309f24e))
606
607  - **Intel**
608
609    - fix ncore ccu snoop dvm enable bug ([106aa54](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/106aa54d922c8d0980c527530cbb417141fe3f83))
610    - resolved coverity checking ([1af7bf7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1af7bf71c042add4f473c056f850a8a4792b6bbd))
611    - update boot scratch cold register to use cold 8 ([655af4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/655af4f49278476ebac6bb865e325eca865684f2))
612    - update checking for memcpy and memset ([c418064](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c418064eb5ae2f223457e4a25a91f379e8cf5223))
613
614  - **MediaTek**
615
616    - support saving/restoring GICR registers ([f73466e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f73466e9a2fe35fc31a7a58a2e24308a9db341d7))
617
618  - **NVIDIA**
619
620    - **Tegra**
621
622      - return correct error code for plat_core_pos_by_mpidr ([6bd79b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6bd79b13f8a8566d047ff25da9110a887b4e36e7))
623
624  - **NXP**
625
626    - **i.MX**
627
628      - **i.MX 8M**
629
630        - make IMX_BOOT_UART_BASE autodetection option more obvious ([101f070](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/101f07022a0337b074c03e60078b94789bc766f6))
631        - map BL32 memory only if SPD_opteed or SPD_trusty is enabled ([4827613](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4827613c9a8db6238e9411b508ef20bda3113146))
632
633  - **QEMU**
634
635    - fix 32-bit builds with stack protector ([e57ca89](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e57ca899efe414bd685e89e335a21d15a25b04f8))
636
637    - **SBSA**
638
639      - align FIP base to BL1 size ([408cde8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/408cde8a59080ac2caa11c4d99474b2ef09f90df))
640
641  - **QTI**
642
643    - **SC7280**
644
645      - update pwr_domain_suspend ([a43be0f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a43be0f61003df1d8cf01bd706d5af305428c022))
646      - update system suspend in OS-initiated mode ([0a9270a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0a9270abe82b396bf6fa15c7eb39c3499452686a))
647
648  - **Renesas**
649
650    - **R-Car**
651
652      - add mandatory fields in 'reserved-memory' node ([f945498](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f945498faab3bd44f0f957931809de2f59517814))
653
654      - **R-Car 3**
655
656        - fix CPG register code comment ([69c371b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/69c371bc16533eb97a1d9bc408f9f17da87ba641))
657        - update Draak and Eagle board IDs ([281edfe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/281edfee02bc72d81aa4972d60216647f932f3df))
658
659  - **ST**
660
661    - allow crypto lib compilation in aarch64 ([76e4fab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76e4fab000825c4361b4b9843c6e0c2f4f6eb1fd))
662    - enable RTC clock before accessing nv counter ([77ce6a5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77ce6a561eae769419559632afa4d807a4fc33b6))
663    - flush UART at the end of uart_read() ([a9cb7d0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a9cb7d002df4f09dce779b5b56640c2fdd77ba3b))
664    - properly check LOADADDR ([9f72f5e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9f72f5eac81c23fe39415b2346b112f64fba8610))
665    - reduce MMC block_buffer ([a2500ab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2500ab7aba27ed5d613718f5f15371bbe895ca6))
666    - setting default KEY_SIZE ([6f3ca8a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6f3ca8ada60addc601f685fa51619d2101d7406a))
667    - update comment on encryption key ([5c506c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5c506c73751cc3f51df88826b89b5f729d8955c5))
668    - update dt_get_ddr_size() type ([2a4abe0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a4abe0b37f8d1987019c3de30e3301d8f8958d7))
669
670    - **STM32MP1**
671
672      - add void entry in plat_def_toc_entries ([8214ecd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8214ecdab22a72877dfff539eee31cfb92f36423))
673      - properly check PSCI functions return ([241f874](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/241f8745459ae413ca22fcc0f1081da8de48796f))
674      - use the BSEC nodes compatible for stm32mp13 ([2171bd9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2171bd9511258e7aebaa3ce2f9498093d3a3c63e))
675
676  - **Texas Instruments**
677
678    - align static device region addresses to reduce MMU table count ([53a868f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53a868f676d9ad6ec37d69155241883b8e7bf0bf))
679    - fix TISCI API changes during refactor ([d7a7135](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7a7135d32a8c7da004c0c19b75bd4e2813f9759))
680    - release lock in all TI-SCI xfer return paths ([e92375e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e92375e07cf54c2fbac6616e58116c98507ac177))
681    - remove check for zero value in BL31 boot args ([44edd3b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44edd3bd7cfe1d5fb1599ab5eee9b81efea984e0))
682
683  - **Xilinx**
684
685    - add headers to resolve compile time issue ([744d60a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/744d60aab4e0173e21564fde092884c10267a6cc))
686    - dcache flush for dtb region ([93ed138](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93ed138006dc09e5b09222cabae8952dd5363ad2))
687    - don't reserve 1 more byte ([c3b69bf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3b69bf17bc0231b0dae613dc9e1e01e41f32236))
688    - dynamic mmap region for dtb ([7ca7fb1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7ca7fb1bf0873824531a6eee2da1214b61496b02))
689    - remove clock_setrate and clock_getrate api ([e5955d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e5955d7c63291a736efe75fb93effbc3fefb19fb))
690    - remove console error message ([f9820f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f9820f21b8317fb3a08598452b252f7a6a2a4ad7))
691    - update dtb when dtb address and tf-a ddr flow is used ([fdf8f92](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fdf8f929df078943c24154e25d9d7661139826b3))
692
693    - **DCC (Debug Communication Channel)**
694
695      - add dcc console unregister function ([0936abe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0936abe9b235dd996e9466288415bb994acbbe8f))
696      - enable DCC also for crash console ([c6d9186](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c6d9186f60a08b4a44b1ecf38071eacdc9553ef6))
697
698    - **Versal**
699
700      - add missing irq mapping for wakeup src ([06b9c4c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06b9c4c87df0b2a052e4f3330b86cc572c7bf885))
701      - fix BLXX memory limits for user defined values ([f123b91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f123b91fddfcc882577590bbf4a54e1497ef9a64))
702      - make pmc ipi channel as secure ([96eaafa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/96eaafa3f855ea9e0b6ce13a44f37fa9f1026207))
703      - type cast addresses to fix integer overflow ([bfe82cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bfe82cff6f6ab8e557e7ad7db8eae573f1fb02f3))
704      - use correct macro name for ocm base address ([56afab7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56afab73a852fd3e10e607d2d86dedc3bae3ff2d))
705
706      - **Versal NET**
707
708        - add redundant call to avoid glitches ([cebb7cc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cebb7cc110e02281060ec854a28a3bee382d8efa))
709        - change flag to increase security ([e8efb65](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8efb65afb996c9832384c96b36aee3092b56a4b))
710        - correct device node indexes ([66b5620](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66b5620c873ef656f779a4c2d844b187ba474d9d))
711        - don't clear pending interrupts ([fb73ea6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb73ea6cc3f9f4f51195b416a0f803a72d81eff6))
712        - fix BLXX memory limits for user defined values ([a80da38](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a80da3899a5eea6bc022c37101ac0b7d970846f7))
713        - make pmc ipi channel as secure ([2c65b79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c65b79e256ea5ead117efeaa5d39c3e53c83bdc))
714        - use correct macro name for uart baudrate ([e2ef1df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e2ef1dfcdbef7e448e9dd96852ffb8489c187d34))
715
716    - **ZynqMP**
717
718      - do not export apu_ipi ([237c5a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/237c5a74a295d6306529be024aaa3d6af4b32898))
719      - fix BLXX memory limits for user defined values ([8ce2fbf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8ce2fbffe37ddcab5071601f1b311ee82a56b7cc))
720      - fix prepare_dtb() memory description ([3efee73](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3efee73d528578162b8eb046dce540f0c5f0041a))
721      - fix sdei arm_validate_ns_entrypoint() ([3b3c70a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b3c70a418522176f3a55d8e266e3968f7d4f832))
722      - handling of type el3 interrrupts ([e8d61f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8d61f7d91901f577030f6a45a71cf389b96d9dc))
723      - make zynqmp_devices structure smaller ([7e3e799](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e3e79995a3c02871211dd0e983fb6e886a9c518))
724      - remove unused headers ([6288636](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/62886363a16f0dcef3b6acdff0a96880cf9940ce))
725      - resolve runtime error in TSP ([81ad3b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81ad3b14b95e019eaa8d89d444680c14ede4d8ab))
726      - type cast addresses to fix overflow issue ([9129163](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/91291633a1c99736803f39edb21cad95a3517ee8))
727      - validate clock_id to avoid OOB variable access ([abc79c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/abc79c275be764d76bd983837ffc487664182dac))
728
729  - **Nuvoton**
730
731    - fix typo in platform.mk ([c7efb78](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c7efb78f8edc8fa66bbe2f9bad390d29f6a43fb0))
732
733- **Bootloader Images**
734
735  - **BL2**
736
737    - bl2 start address for RESET_TO_BL2+ENABLE_PIE ([d478ac1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d478ac16c9002114da1c4708a0efb083c494ce2f))
738
739  - **BL31**
740
741    - resolve runtime console garbage in next stage ([889e3d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/889e3d1c68e37dc9f75ae432703fa8ffc7259546))
742
743  - **BL32**
744
745    - always include arm_arch_svc in SP_MIN ([cd0786c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd0786c73e536a1d2507d77ce49e2ae2b8ee71a1))
746    - avoid clearing argument registers in RESET_TO_SP_MIN case ([56055e8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56055e87b0a756d4756a22ed26b855fbe7afe93c))
747
748    - **TSP**
749
750      - fix destination ID in direct request ([ed23d27](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed23d274fae0b2787421a1b2558d7c1e9ebb07ab))
751      - flush uart console ([ae074b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ae074b369a25747acf98a23389e9d67b39738c71))
752
753- **Services**
754
755  - **RME**
756
757    - **RMMD**
758
759      - enable sme using sme_enable_per_world ([c0e16d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0e16d30ab70c51737f7a01a6b365d27c1a94f3b))
760
761  - **SPM**
762
763    - **EL3 SPM**
764
765      - fix LSP direct message response ([c040621](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c040621dba5f4c097441e67c9fd99b9df174ba4e))
766      - improve direct messaging validation ([48fe24c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/48fe24c50cd4990a76f88e89b77e71b9a90aec6c))
767
768    - **EL3 SPMC**
769
770      - avoid descriptor size calc overflow ([27c0242](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/27c02425089548786a18d355b15acccd51880676))
771      - correctly account for emad_offset ([0c2583c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c2583c6fbfd03e70915554d4093e5f9148f3792))
772      - fix incorrect CASSERT ([1dd79f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1dd79f9e2316e5a7a78b0ad5a34ec50288338e6f))
773      - only call spmc_shm_check_obj() on complete objects ([d781959](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d781959f81923bc3a59e77abd44df2fcc61f044e))
774      - prevent total_page_count overflow ([2d4da8e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d4da8e265660ce7580219b51d5e79fd99ce1458))
775      - remove experimental flag ([630a06c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/630a06c4c64f3a6804dd633081190241b1e78484))
776      - use uint64_t for 64-bit type ([43318e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/43318e4a4dcc79935150de75fe5dccbb615f4719))
777      - use version-dependent minimum descriptor length ([52d8d50](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52d8d506e715dbbeba0938cecd30ac6624d1dcfc))
778      - validate descriptor headers ([56c052d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56c052d31126c93b3c6782ea8e0c3348b5299b75))
779      - validate memory address alignment ([327b5b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/327b5b8b74faedefc45e861c797197cf6fbd6def))
780      - validate shmem descriptor alignment ([dd94372](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dd94372d77ff107726a7be53318b5694f3309ddb))
781
782    - **SPMD**
783
784      - coverity scan issues ([b04343f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b04343f3c912c8abc1a37b0ebe461ab574959ecd))
785      - fix FFA_VERSION forwarding ([76d53ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76d53ee1aafca7ba908c7439670509107377b309))
786      - perform G0 interrupt acknowledge and deactivation ([6c91fc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c91fc44580415aaca4cbd774d4373475f33deb2))
787      - relax use of EHF with SPMC at S-EL2 ([bb6d0a1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb6d0a174f76240728cd911130703e712520ce16))
788
789  - **ERRATA ABI**
790
791    - added Neoverse N2 to Errata ABI list ([7e030b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e030b376329a0466ffe7676be215770bb46d10f))
792    - fix the rev-var for Cortex-A710 ([5c8fcc0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5c8fcc0ca7f5e6dc3aea947800e146fe0ffe9b84))
793    - update the Cortex-A76 errata ABI struct ([92d5b50](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/92d5b501d4ba7e00e2ddfd546dc90b786966a352))
794    - update the Cortex-A78C errata ABI struct ([7f2caec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f2caecdbc64d1fbd34942285e1194e85c5e8614))
795    - update the neoverse-N1 errata ABI struct ([56747a5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56747a5caa50eedeb627795f1c37e0a14953c2bf))
796    - update the Neoverse-N2 errata ABI struct ([80af87e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/80af87e476ec3dd1ad26d7a906da82268a29e2b5))
797
798- **Libraries**
799
800  - **CPU Support**
801
802    - assert invalid cpu_ops obtained ([3f721c6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3f721c6edd20cef11c241a3ef84d94c06f5bebb4))
803    - check for SME presence in Gelas ([0bbd432](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0bbd4329bf73b0da1ed69578c385dd36358e261e))
804    - fix minor issue seen with a9 cpu ([af70470](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af704705c135f85b8b1eeda938e3dcdba3f6e561))
805    - fix the rev-var for Cortex-A710 ([2bf7939](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2bf7939a7b313352deb6c6b77ee1316eff142a7c))
806    - fix the rev-var of Cortex-X2 ([8ae66d6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8ae66d624e2f7cae9577ff8f99e0a45e21fb353d))
807    - fix the rev-var of Neoverse-V1 ([ab2b56d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab2b56df266f73aa53ca348d7945b119e1ef71c7))
808    - flush L2 cache for Cortex-A7/12/15/17 ([c5c160c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c5c160cdddd1c365a447c1fcd148fabb9014cce0))
809    - integer suffix macro definition ([1a56ed4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a56ed4b357e9023637c74c39c6885c558a737d2))
810    - reduce generic_errata_report()'s size ([f43e09a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f43e09a12e4f4f32185d3e2accceb65895d1f16b))
811    - revert erroneous use of override_vector_table macro in Cortex-A73 ([9a0c812](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a0c81257ff116b2ca33f5b6737e0a000fb7e551))
812    - update the fix for Cortex-A78AE erratum 1941500 ([67a2ad1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67a2ad171d1fb604d4cba8fa7f92ccb66d1ef3f9))
813    - update the rev-var for Cortex-A78AE ([c814619](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c814619a364aea3bd55b5ea238541864c0de7dab))
814    - workaround for Cortex-A510 erratum 2080326 ([6e86475](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e86475d55fa2981bc342a0eb78b86be233d7718))
815    - workaround for Cortex-A710 erratum 2742423 ([d7bc2cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7bc2cb4303088873a715bcaa2ac3e0096b9d7f2))
816    - workaround for Cortex-X2 erratum 2742423 ([fe06e11](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fe06e118ab0837ff173f6b7e576dcc34b2d26bb1))
817    - workaround for Cortex-X3 erratum 2070301 ([2454316](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2454316c2ae4411d0071d88c3db3c95598f12498))
818    - workaround for Cortex-X3 erratum 2742421 ([5b0e443](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b0e4438d0e604e80ffff17d02e37cae0f4b2a8f))
819    - workaround for Neoverse N2 erratum 2009478 ([74bfe31](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/74bfe31fd2c992d8e1e13bf396a9d5c136967ca5))
820    - workaround for Neoverse N2 erratum 2340933 ([68085ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68085ad4827ac7daa39767d479d0565daa32cb47))
821    - workaround for Neoverse N2 erratum 2346952 ([6cb8be1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cb8be17a53f4e11880ba13b78fca15895281cfe))
822    - workaround for Neoverse N2 erratum 2743014 ([eb44035](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eb44035cdec5d47d7eb3c904c8e5d8443b9dfcba))
823    - workaround for Neoverse N2 erratum 2779511 ([12d2806](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12d28067c9e76a78b148ed6fb94faf96de5e8502))
824    - workaround for Neoverse V2 erratum 2331132 ([8852fb5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8852fb5b7d94229475446c81cfa58851bc2204ff))
825    - workaround for Neoverse V2 erratum 2719105 ([b011402](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b01140256b5c0620cbde8e98c0df0e95343a3c71))
826    - workaround for Neoverse V2 erratum 2743011 ([58dd153](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/58dd153cc88e832a6b019f1d4c2e6d64986ea69d))
827    - workaround for Neoverse V2 erratum 2779510 ([ff34264](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff342643bcfaf20d61148b90a068694fa1c44dca))
828    - workaround for Neoverse V2 erratum 2801372 ([40c81ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40c81ed5335191fbe32466e56aa4fb6db1da466c))
829
830  - **EL3 Runtime**
831
832    - leverage generic interrupt controller helpers ([07f867b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07f867b12251235b8582bec38e9cf39a95703e77))
833    - restrict lower el EA handlers in FFH mode ([6d22b08](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6d22b089ffb1793d581fde4de76245397ad7d4ee))
834
835    - **Context Management**
836
837      - make ICC_SRE_EL2 fixup generic to all worlds ([5e8cc72](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5e8cc7278659820bcd64c243cbd89c131462314c))
838      - set MDCR_EL3.{NSPBE, STE} explicitly ([99506fa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99506face112410ae37cf617b6efa809b4eee0ee))
839
840    - **RAS**
841
842      - remove RAS_FFH_SUPPORT and introduce FFH_SUPPORT ([f87e54f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f87e54f73cfee5042df526af6185ac6d9653a8f5))
843      - restrict ENABLE_FEAT_RAS to have only two states ([970a4a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/970a4a8d8c0d6894fe2fd483d06b6392639e8760))
844
845  - **PSCI**
846
847    - add optional pwr_domain_validate_suspend to plat_psci_ops_t ([d348861](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d34886140c74c0afc48ab20e63523505fcfb4b7d))
848
849  - **SMCCC**
850
851    - ensure that mpidr passed through SMC is valid ([e60c184](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e60c18471fc7488cc0bf1dc7eae3b43be77045a4))
852    - pass SMCCCv1.3 SVE hint to internal flags ([b2d8517](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b2d851785f6c03cae4feb015fe69091582e18f5e))
853
854  - **Translation Tables**
855
856    - fix defects on the xlat library reported by coverity scan ([2974ad8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2974ad87b8561706176e113e2ec4457c919cb99a))
857    - set MAX_PHYS_ADDR to total mapped physical region ([1a38aaf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a38aafbff93e478aa6f9e19af1ed76024062a73))
858
859- **Drivers**
860
861  - **Authentication**
862
863    - allow hashes of different lengths ([22a5354](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/22a53545aa37c06a1ffd0f3c15e870b256a41cb7))
864    - don't overwrite pk with converted pk when rotpk is hash ([1046b41](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1046b41808b23b4079f04cad370646e05207ded5))
865
866  - **Measured Boot**
867
868    - don't strip last non-0 char ([b85bcb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b85bcb8ec92126c238572ed7d242115125e411e1))
869
870  - **MMC**
871
872    - initialises response buffer with zeros ([b1a2c51](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b1a2c51a0820fce803431e6ee5bd078bb1a65b0d))
873
874  - **MTD**
875
876    - **NAND**
877
878      - reset the SLC NAND ([f4d765a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4d765a12815e3f4bd9c4dff5fd88661b3615114))
879
880      - **SPI NAND**
881
882        - add Quad Enable management ([da7a33c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/da7a33cf2f27545d9d290ff0c2ee1ec333b061bb))
883
884  - **SCMI**
885
886    - add parameter for plat_scmi_clock_rates_array ([ca9d6ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca9d6edc892165c38f1b2710b537c10d4a57062d))
887
888  - **UFS**
889
890    - performs unsigned shift for doorbell ([e47d8a5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e47d8a58b0d5745c943c36fad2ec8a98af709bea))
891    - set data segment length ([9d6786c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d6786cacee7c0eff33d1cec42c09c7002dd83d2))
892
893  - **Arm**
894
895    - **GIC**
896
897      - **GICv3**
898
899        - map generic interrupt type to GICv3 group ([632e5ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/632e5ffeb8f50a98090065b63d9d071b72acd23c))
900        - move invocation of gicv3_get_multichip_base function ([36704d0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/36704d09c6b26045fe2d18530a020ed23d74593d))
901
902        - **GIC-600**
903
904          - fix gic600 maximum SPI ID ([69ed7dc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/69ed7dc2e964c66eb8ff926a63a47b701ae1f3c6))
905
906  - **Renesas**
907
908    - **R-Car3**
909
910      - update DDR setting ([138ddcb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/138ddcbf4d330d13a11576d973513014055f98c1))
911
912  - **ST**
913
914    - **Clock**
915
916      - disabling CKPER clock is not functional on stm32mp13 ([1bbcb58](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1bbcb58a69c4ee2ee13e9d5de4499438ca08b149))
917
918    - **Crypto**
919
920      - do not read RNG data if it's not ready ([53092a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53092a7780fa3d1b926aae8666f1c5a19cb039f1))
921      - use GENMASK_32 to define PKA registers masks ([379d77b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/379d77b3705b0f3a88332663bba956289cad5797))
922
923    - **DDR**
924
925      - express memory size with size_t type ([b4e1e8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b4e1e8fbf0dde5679d6b3717b8579f7a3343fdf8))
926
927    - **UART**
928
929      - allow 64 bit compilation ([6fef0f6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6fef0f67e47b3b42fc9b5dbc55bdef00a970765d))
930      - correctly check UART enabled in flush fonction ([a527380](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a5273808aa1a4514f7849ca91b7859e15bf82bff))
931      - skip console flush if UART is disabled ([b156d7b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b156d7b1cca1542f0c1c6f5d4354c43e048dc4a0))
932
933- **Miscellaneous**
934
935  - **AArch32**
936
937    - disable workaround discovery on aarch32 for now ([d1f2748](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d1f2748ed25748237e894c68c5a163326a8c33b9))
938
939  - **FDTs**
940
941    - **STM32MP1**
942
943      - move /omit-if-no-ref/ to overlay files ([f351f91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f351f9110f29a33923780c40d0896832fdb0ac81))
944
945      - **STM32MP13**
946
947        - correct the BSEC nodes compatible ([85c2ea8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85c2ea8fd325797a44e814b575611aafae9e7613))
948        - cosmetic fixes in PLL nodes ([8b82663](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8b826636a39e0f20cc2c0557288b1eeab46fb923))
949
950  - **SDEI**
951
952    - ensure that interrupt ID is valid ([a7eff34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a7eff3477dcf3624c74f5217419b1a27b7ebd2aa))
953
954  - **TBBR**
955
956    - guard defines under MBEDTLS_CONFIG_FILE ([81c2e15](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81c2e1566dc4484c23d293961744489a9a6ea3f0))
957    - unrecognised 'tos-fw-key-cert' option ([f1cb5bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1cb5bd19034407f2de7cad23f2cc52ca924e561))
958
959- **Documentation**
960
961  - match boot-order size to implementation ([fd1479d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fd1479d9194d3f3ec98d235e077c9d6e24276fa2))
962  - add missing line in the fiptool command for stm32mp1 ([d526d00](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d526d00a13f86bbd2c073c065b6e9aff339e1b41))
963  - fix build errors for latexpdf ([443d6ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/443d6ea69992986f56246bcee44e537ab8dec069))
964  - remove out-dated information about CI review comments ([74306b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/74306b2ac8971693d148b34d02c556d94b3e4926))
965  - replace deprecated urls under tfa/docs ([5fdf198](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5fdf198c117a4b6dbcf5242f5136f7224ceff6ff))
966  - update maintainers list ([9766f41](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9766f41d3c4cae4cd515c2f9266bb7adb4725349))
967  - updated certain Neoverse N2 erratum status in docs ([d6d34b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d6d34b39132425dfa8c75352711c463d2989a216))
968  - use rsvg-convert as the conversion backend ([c365476](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3654760033c08e7ffa9337e05c48336032eacb9))
969
970- **Tools**
971
972  - **Firmware Image Package Tool**
973
974    - move juno plat_fiptool.mk ([570a230](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/570a23099c32cafcb63ecb6cc0516d76ea099daf))
975
976  - **Certificate Creation Tool**
977
978    - fix key loading logic ([bb3b0c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb3b0c0b09ff1d969ddd49b99642740ce2a07064))
979    - key: Avoid having a temporary value for pkey in key_load ([ea6f845](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea6f8452f6eb561a0fa96a712da93fcdba40cd9c))
980
981  - **Memory Mapping Tool**
982
983    - reintroduce support for GNU map files ([d0e3053](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0e3053c4f5b9d2bc70daf4db3c71f99c6da216d))
984
985## [2.9.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.8.0..refs/tags/v2.9.0) (2023-05-16)
986
987### ⚠ BREAKING CHANGES
988
989- **Libraries**
990
991  - **EL3 Runtime**
992
993    - **RAS**
994
995      - The previous RAS_EXTENSION is now deprecated. The equivalent functionality can be achieved by the following 2 options:
996         - ENABLE_FEAT_RAS
997         - RAS_FFH_SUPPORT
998
999        **See:** replace RAS_EXTENSION with FEAT_RAS ([9202d51](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9202d51990c192e8bc041e6f53d5ce63ee908665))
1000
1001- **Drivers**
1002
1003  - **Authentication**
1004
1005    - unify REGISTER_CRYPTO_LIB
1006
1007      **See:** unify REGISTER_CRYPTO_LIB ([dee99f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dee99f10b1dcea09091f4a1d53185153802dfb64))
1008
1009  - **Arm**
1010
1011    - **Ethos-N**
1012
1013      - The Linux Kernel NPU driver can no longer directly configure and boot the NPU in a TZMP1 build. The API version has therefore been given a major version bump with this change.
1014
1015        **See:** add protected NPU firmware setup ([6dcf3e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6dcf3e774457cf00b91abda715adfbefce822877))
1016
1017      - Building the FIP when TZMP1 support is enabled in the NPU driver now requires a parameter to specify the NPU firmware file.
1018
1019        **See:** load NPU firmware at BL2 ([33bcaed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33bcaed1211ab27968433b546979687bc1182630))
1020
1021- **Build System**
1022
1023  - BL2_AT_EL3 renamed to RESET_TO_BL2 across the repository.
1024
1025    **See:** distinguish BL2 as TF-A entry point and BL2 running at EL3 ([42d4d3b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/42d4d3baacb3b11c68163ec85de1bf2e34e0c882))
1026
1027  - check boolean flags are not empty
1028
1029    **See:** check boolean flags are not empty ([1369fb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1369fb82c8e809c1a59a0d99184dbfd2d0b81afa))
1030
1031  - All input and output linker section names have been prefixed with the period character, e.g. `cpu_ops` -> `.cpu_ops`.
1032
1033    **See:** always prefix section names with `.` ([da04341](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/da04341ed52d214139fe2d16667ef5b58c38e502))
1034
1035  - The `EXTRA_LINKERFILE` build system variable has been replaced with the `<IMAGE>_LINKER_SCRIPT_SOURCES` variable. See the commit message for more information.
1036
1037    **See:** permit multiple linker scripts ([a6ff006](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a6ff0067ab57d848d3fb28a3eb2b47e6cf2a6092))
1038
1039  - The `LINKERFILE`, `BL_LINKERFILE` and `<IMAGE_LINKERFILE>` build system variables have been renamed. See the commit message for more information.
1040
1041    **See:** clarify linker script generation ([8227493](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/82274936374bf630bf5256370e93a531fdda6372))
1042
1043### Resolved Issues
1044
1045- **Architecture**
1046
1047  - **CPU feature / ID register handling in general**
1048
1049    - context-switch: move FGT availability check to callers ([de8c489](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de8c489247458c00f7b48301fb5c5273c7a628fc))
1050    - make stub enable functions "static inline" ([d7f3ed3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7f3ed3655b85223583d8c2d9e719f364266ef26))
1051    - resolve build errors due to compiler optimization ([e8f0dd5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8f0dd58da231b81ba0ce6f27aaf1e31b4d4c429))
1052
1053  - **Memory Partitioning and Monitoring (MPAM) Extension (FEAT_MPAM)**
1054
1055    - feat_detect: support major/minor ([1f8be7f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1f8be7fc66fb59b197dde3b4ea83314b1728c6b8))
1056    - remove unwanted param for "endfunc" macro ([0e0bd25](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e0bd250ef08ba70b34db9eb0cab0f6ef4d08edf))
1057    - run-time checks for mpam save/restore routines ([ed80440](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed804406bf2ee04bde1c17683cec6f679ea1e160))
1058
1059  - **Pointer Authentication Extension**
1060
1061    - make pauth_helpers linking generic ([90ce8b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/90ce8b8718d079b9e906d06bdd6a72da6cc5b636))
1062
1063  - **Performance Monitors Extension (FEAT_PMUv3)**
1064
1065    - switch FVP PMUv3 SPIs to PPI ([d7c455d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7c455d8cca85de4a520da33db6523c9c8a7ee38))
1066    - unconditionally save PMCR_EL0 ([1d6d680](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d6d6802dd547c8b378a9a47572ee72e68cceb3b))
1067
1068  - **Scalable Matrix Extension (FEAT_SME, FEAT_SME2)**
1069
1070    - disable SME for SPD=spmd ([2fd2fce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2fd2fcedff0595a7050328fa60dc4850d6b424bf))
1071
1072  - **Statistical profiling Extension (FEAT_SPE)**
1073
1074    - drop SPE EL2 context switch code ([16e3ddb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/16e3ddba1f049106387dfe21989243d2fc4cf061))
1075
1076- **Platforms**
1077
1078  - **Allwinner**
1079
1080    - check RSB availability in DT on H6 ([658b315](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/658b3154d5b06a467b65cb79d31da751ffc6f5a4))
1081
1082  - **Arm**
1083
1084    - arm_rotpk_header undefined reference ([95302e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95302e4b234589e0487996a5c0f1e111c21ffedc))
1085
1086    - **A5DS**
1087
1088      - add default value for ARM_DISABLE_TRUSTED_WDOG ([115ab63](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/115ab63872ab36f8202f4c4aab093c4e9182d4e7))
1089
1090    - **CSS**
1091
1092      - fix invalid redistributor poweroff ([60719e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/60719e4e0965aead49d927f12bf2a37bd2629012))
1093
1094    - **FPGA**
1095
1096      - include missing header file ([b7253a1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7253a14cdc633a606472ec4e5aa4123158e2013))
1097
1098    - **FVP**
1099
1100      - correct ehf priority for SPM_MM ([fb2fd55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb2fd558d8102ad79e5970714e0afec31a6138d7))
1101      - incorrect UUID name in FVP tb_fw_config ([7f2bf23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f2bf23dec6e6467704d7d71ec44bee030912987))
1102      - unconditionally include lib/psa headers ([72db458](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72db45852d84db6ade0da2a232a44df3e5228b6d))
1103      - work around BL31 progbits exceeded ([138221c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/138221c2457b9d04101b84084c07d576b0eb5a51))
1104      - work around DRTM_SUPPORT BL31 progbits exceeded ([7762e5d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7762e5d0ed5c28b0a77dc25cc566cf54a69af7e6))
1105
1106    - **Morello**
1107
1108      - add platform-specific power domain functions ([02a5bcb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02a5bcb0bc3c8596894b6d0ec8c979b330db387a))
1109
1110    - **N1SDP**
1111
1112      - add platform-specific power domain functions ([5bdafc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5bdafc4099b446609965f9132e6c52a7bdeb9ac8))
1113
1114    - **RD**
1115
1116      - **RD-N1 Edge**
1117
1118        - change variable type to fix gcc sign conversion error ([3a3e0e5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3a3e0e5371e99b3764fd8e8d98a447911f3bb915))
1119
1120    - **TC**
1121
1122      - increase TC_TZC_DRAM1_SIZE ([7e3f6a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e3f6a87d74efec780c0832c0535dd64ef830cfa))
1123      - change the FIP offset to 8 KiB boundary ([d07b8aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d07b8aac39abc3026233e316686f4643d076f8d6))
1124      - change the properties of optee reserved memory ([2fff46c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2fff46c80fe4aa27cd55ad4bfbe43c3823095259))
1125      - enable dynamic feature detection of FEAT_SVE for NormalWorld ([67265f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67265f2f6d7604147080033a1c99150e9a020f28))
1126      - enable the execution of both platform tests ([657b90e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/657b90ea1aa2831a7feed31f07fc8e92213e6465))
1127      - only suspend booting after running plat tests ([9b26655](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b266556d308c0af6f932fedd1c41fbda05204aa))
1128      - unify TC ROM start addresses ([f9e11c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f9e11c724bb7c919dc9bd5dd8fca1e04140374d2))
1129      - update the name of mbedtls config header ([d5fc899](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5fc8992c7b63675b6fc4b2c00a1e1acfdaaeee2))
1130
1131  - **Broadcom**
1132
1133    - add braces around bodies of conditionals ([9f58bfb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9f58bfbbe90d2891c289cd27ab7d2ede8b5572d4))
1134
1135  - **Intel**
1136
1137    - add mailbox error return status for FCS_DECRYPTION ([76ed322](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76ed32236aa396cb0e15eb049bea03710ca1992d))
1138    - agilex bitstream pre-authenticate ([4b3d323](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b3d323acdd21d8853e38e135bf990b3767ca354))
1139    - fix Agilex and N5X clock manager to main PLL C0 ([5f06bff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f06bffa831638fd95d2160209000ef36d2a22ce))
1140    - fix fcs_client crashed when increased param size ([c42402c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c42402cdf8a3dfc6f6e62a92b2898066e8cc46f6))
1141    - fix pinmux handoff bug on Agilex ([e6c0389](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6c038909193b83bc293de9b1eb65440e75f8c91))
1142    - fix print out ERROR when encounter SEU_Err ([1a0bf6e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a0bf6e1d8fe899359535c0a0a68c2be5e5acaf4))
1143    - fix sp_timer0 is not disabled in firewall on Agilex ([8de7167](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8de7167eb661ff730a79bd2c6db15c22fdc62c8a))
1144    - fix the pointer of block memory to fill in and bytes being set ([afe9fcc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afe9fcc3d262ca279a747c8ab6fa8bacf79c76fb))
1145    - flash dcache before mmio read ([731622f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/731622fe757ab2bcc0492ad27bafecf24206ddac))
1146    - mailbox store QSPI ref clk in scratch reg ([7f9e9e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f9e9e4b40152c0cb52bcc53ac3d32fd1c978416))
1147    - missing NCORE CCU snoop filter fix in BL2 ([b34a48c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b34a48c1ce0dd7e44eac4ceb0537b337857b057f))
1148    - remove checking on TEMP and VOLT checking for HWMON ([68ac5fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68ac5fe14c0220673d7ee88a99b3d02be1fef530))
1149    - update boot scratch to indicate to Uboot is PSCI ON ([7f7a16a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f7a16a6c0a49af593fa080eb66f72a20bb07299))
1150
1151  - **NVIDIA**
1152
1153    - **Tegra**
1154
1155      - append major revision to the chip_id value ([33c4766](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33c476601cf48a4b02259b8cb43819acd824804f))
1156      - remove dependency on CPU registers to get boot parameters ([0b9f05f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b9f05fcaea069bff6894d99ec5babc4be29ca67))
1157
1158      - **Tegra 210**
1159
1160        - support legacy SMC_ID 0xC2FEFE00 ([40a4e2d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40a4e2d84c38ffae899eaa2c33c1e280312919cf))
1161
1162  - **NXP**
1163
1164    - **i.MX**
1165
1166      - **i.MX 8M**
1167
1168        - add ddr4 dvfs sw workaround for ERR050712 ([e00fe11](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e00fe11df3fee04c7f3137817294d464466dab22))
1169        - backup mr12/14 value from lpddr4 chip ([a2655f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2655f48697416b8350ba5b3f7f44f1f0be79d4e))
1170        - correct the rank info get fro mstr ([5277c09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5277c09606450daaffa43f3cf15fcc427d7ba612))
1171        - fix coverity out of bound access issue ([0331b1c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0331b1c6111d198195298a2885dbd93cac1ad26a))
1172        - fix the current fsp init ([25c4323](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25c43233e866326326f9f82bfae03357c396a99f))
1173        - fix the dfiphymaster setting after dvfs ([ad0cbbf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad0cbbf513dfabe51a401c06be504e57d6b143ca))
1174        - fix the dram retention random hang on some imx8mq Rev2.0 ([4bf5019](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4bf5019228cf89e0cbc2cd03627f755d51e3e198))
1175        - fix the rank to rank space issue ([3330084](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3330084979e4c1a39a92f0642000664c79a00dda))
1176
1177        - **i.MX 8Q**
1178
1179          - fix compilation with gcc >= 12.x ([e75a3b6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e75a3b6e89c4bce11d1885426f22262def9bd664))
1180
1181    - **Layerscape**
1182
1183      - fix errata a008850 ([c45791b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c45791b2f20909c9a9d2bae84dafc17f55892fc8))
1184      - fix nv_storage assert checking ([5d599b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d599b71ea6e0020f4f9d0e7af303726483217bc))
1185      - unlock write access SMMU_CBn_ACTLR ([0ca1d8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ca1d8fba3bee32242b123ae28ad5c83a657aa0d))
1186
1187      - **LX2**
1188
1189        - init global data before using it ([50aa0ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/50aa0ea7acd21e7e9920a91a14db14a9f8c63700))
1190
1191      - **LS1046A**
1192
1193        - 4 keys secureboot failure resolved ([c0c157a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0c157a680fcb100afed3e1ea9d342deea72ea05))
1194
1195  - **QEMU**
1196
1197    - enable dynamic feature detection of FEAT_SVE for NormalWorld ([fc259b6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fc259b6c3a551efbc810c8e08e82b7b5378f57ba))
1198
1199    - **SBSA**
1200
1201      - enable FGT ([c598692](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c598692d0c6a79dd10c34d5a4a740c90261cfc65))
1202      - enable SVE and SME ([9bff7ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9bff7ce37545162d417953ac36c6878216815b94))
1203
1204  - **QTI**
1205
1206    - **MSM8916**
1207
1208      - add timeout for crash console TX flush ([7e002c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e002c8a13172c44f55ab49062861479b6622884))
1209      - drop unneeded initialization of CNTACR ([d833af3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d833af3ab50cd2cfecb8868c3d5340df1572f042))
1210      - flush dcache after writing msm8916_entry_point ([01ba69c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/01ba69cd9b833047653186858a6929e6c9379989))
1211      - print \r before \n on UART console ([3fb7e40](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3fb7e40a21b1570a8ce1cd1708134fa7a05d94fb))
1212
1213  - **Raspberry Pi**
1214
1215    - **Raspberry Pi 3**
1216
1217      - initialize SD card host controller ([bd96d53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bd96d533dc28c4c938aa54905787688823cbccac))
1218
1219  - **Renesas**
1220
1221    - align incompatible function pointers ([90c4b3b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/90c4b3b62d5303c22fdc5f65f0db784de0f4ac95))
1222
1223  - **Rockchip**
1224
1225    - use semicolon instead of comma ([8557d49](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8557d491b6dbd6cbf27cc2ae6425f6cb29ca2c35))
1226
1227  - **ST**
1228
1229    - add U suffix for unsigned numbers ([9c1aa12](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9c1aa1253c9c77487b73d46a89941e81e80864eb))
1230    - explicitly check operators precedence ([56048fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56048fe215997ab6788ebd251e8cde094392dfc7))
1231    - include utils.h to solve compilation error ([377846b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/377846b65e8eb946a6560f1200ca4ca0e1eb8b99))
1232    - make metadata_block_spec static ([d1d8a9b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d1d8a9bad0be53792e219625b0d327cc4855378f))
1233    - rework secure-status check in fdt_get_status() ([0ebaf22](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ebaf222899c1c33fe8bd0e69bd2c287ebe1154b))
1234    - use Boolean type for tests ([45d2d49](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/45d2d495e77c9c8f3e80774e48a80e4882c8ac0d))
1235    - use indices when counting GPIOs in DT ([e7d7544](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e7d75448b9e46dee22fe23b37c28a522b9ec3a6c))
1236
1237    - **STM32MP1**
1238
1239      - add const for strings in stm32mp_get_soc_name() ([d7f5bed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7f5bed90eaacee0a223bcf23438dfb76dee08e6))
1240      - add missing platform.h include ([6e55f9e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e55f9e2cde0426c39ccda87b00047f85d30f97d))
1241      - always define PKA algos flags ([e0e2d64](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0e2d64f47654e4d86d0e400977eab0e4a01523e))
1242      - remove boolean check on PLAT_TBBR_IMG_DEF ([231a0ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/231a0adb6abc35c125d4177749af37042575eca2))
1243      - rework DWL buffer cache invalidation ([127ed00](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/127ed0008e03abb98b5447cb80c5634dfa554e7d))
1244
1245  - **Texas Instruments**
1246
1247    - do not take system power reference in bl31_platform_setup() ([9977948](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9977948112d732935362a3fe8518e3b2e4b7f6b7))
1248    - fix typo in boot authentication message name ([81f525e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81f525ecc75a3d8b344a27881098fcaab65f2d8f))
1249
1250  - **Xilinx**
1251
1252    - fix misra defects ([964e559](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/964e55928c8f966633cc57e41987aa00890f5da7))
1253    - handle CRC failure in IPI ([5e92be5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5e92be5121e8ecd81a0f89eaae0d1a7ac8f4bfd7))
1254    - handle CRC failure in IPI callback ([6173d91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6173d914d673249ec47c080909c31a1654545913))
1255    - initialize values to device enum members ([5c62d59](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5c62d599274b5d9facd4996b50c1a1e153b247a4))
1256    - remove asserts around arg0/arg1 ([8be2044](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8be20446706c6b2fe911804385f308817495d2d4))
1257    - remove unnecessary condition ([c984123](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c984123669a7ba7b8d1dc168db8e130ee52bbb1e))
1258    - remove unused mailbox macros ([15f49cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15f49cb49d7daf2cd771c80d3dd80ff15874b40b))
1259    - resolve integer handling issue ([4e46db4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4e46db40fc86ddc0556c42ba01198d13002fcf14))
1260    - use lib/smccc.h macros instead of trusty spd ([0ee07d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ee07d796cece8074eb296415c88872504dee682))
1261
1262    - **Versal**
1263
1264      - check smc_fid 23:16 bits ([4a50363](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a50363aaeaa16edafcff17486006049b30e1e2f))
1265      - fix incorrect regbase for PMC IPI ([c4185d5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c4185d5103080621393edb770a56aa274f9af1a7))
1266      - initialize the variable with value 0 in pm code ([cd73d62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd73d62b0e0920ca4e6c4fea7ab65bcbd63e07de))
1267      - print proper atf handoff source ([0fe002c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0fe002c9be899f005316ea196ad4c6b08815d482))
1268      - replace FPD_MAINCCI* macros ([245d30e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/245d30efe617af68c674b411d63c680dca1c21dd))
1269      - sync location based on IPI_ID macros ([92a43bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/92a43bdf366502c6919bbd2c8e4f687c51d9738c))
1270
1271      - **Versal NET**
1272
1273        - fix irq for IPI0 ([95bbfbc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95bbfbc6e0789cba871e2518dba76ff9bf712331))
1274        - clear power down bit during wakeup ([5f0f7e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f0f7e47e05f98587d424c2162d1ce20af4f588d))
1275        - clear power down interrupt status before enable ([2d056db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d056db4e4981e0f8a58de0d1e44e46058b308f4))
1276        - correct aff level for cpu off ([6ada9dc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ada9dc325aaa29e2f4c87575093401197856639))
1277        - disable wakeup interrupt during client wakeup ([e663f09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e663f09b3cc2a3c933191c110557c6ffe5db6d6c))
1278        - enable wake interrupt during client suspend ([39fffe5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/39fffe552fb04028de750e6080d9a8ba46e89b8c))
1279        - fix setting power down state ([1f79bdf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1f79bdfd9ae105135a0192017d6f9368045228e9))
1280        - populate gic v3 rdist data statically ([355dc3d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/355dc3d4deacf73a3d354682bcda454e6d13ed66))
1281        - resolve misra 10.6 warnings ([8c23775](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8c23775e88bfc4ffa2b0eaf815d4f79992d344e6))
1282        - resolve misra rule 20.7 warnings ([21d1966](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21d1966a23b57425a400730270c8694e37b1a85c))
1283        - use spin_lock instead of bakery_lock ([0b3a2cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b3a2cf0226878ad7098cc6cd1a97ade74fd9c38))
1284
1285    - **ZynqMP**
1286
1287      - add bitmask for get_op_char API ([ad4b667](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad4b667d3ba7ece4cf28106aef6f91259b5b06ee))
1288      - check return status of pm_get_api_version ([c92ad36](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c92ad369ca3a548ecbf30add110b1561fe416c10))
1289      - check smc_fid 23:16 bits ([09b342a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/09b342a9d3aa030bde6d52e39203b9b8c8e6b106))
1290      - conditional reservation of memory in DTB ([c52a142](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c52a142b7ceb397b4d66cc90f2bc717acc7263cd))
1291      - enable A53 workaround(errata 1530924) ([d8133d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d8133d7785969b417cbace293db6393c55844fac))
1292      - fix bl31_zynqmp_setup.c coding style ([26ef5c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/26ef5c29c62def3a21591dd216180d86063acdb4))
1293      - fix DT reserved allocated size ([2c03915](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c03915322ede112030fcfb8097d4697b92fcc2f))
1294      - fix xck24 silicon ID ([f156590](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f156590767d5f80e942fa3f88a9b6a94c13ceb55))
1295      - initialize uint32 with value 0U in pm code ([e65584a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e65584a017fadf002d5bdd1e95527c48610a6963))
1296      - move EM SMC range to SIP range ([acbae39](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/acbae3998bd829ae4b31ea9da59055e3624991a5))
1297      - panic w/o handoff structure in !JTAG ([fbe4dbe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fbe4dbeec906038795f72d8f9284a812bd6a852d))
1298      - remove redundant api_version check ([d0b58c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0b58c8a9bff3cabfdb59e052ab7eaecfe64b305))
1299      - remove unused PLAT_NUM_POWER_DOMAINS ([72c3124](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72c3124f584609275424bf52a20fd707d4f1af6a))
1300      - separate EM from PM SMCs ([a911396](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a9113966c35af281e9c8972b1209646963ff55d0))
1301      - update MAX_XLAT_TABLES for DDR memory range ([12446ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12446ce89e351959aebb610eb2e35cdc7eb84d26))
1302      - update the conflicting EEMI API IDs ([bcc1348](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bcc1348b6bb2fcd987c8f047fa9f526f32768258))
1303      - with DEBUG=1 move bl31 to DDR range ([2537f07](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2537f0725ee7d8f46bef3e5b49134419b5c3367b))
1304
1305- **Bootloader Images**
1306
1307  - **BL31**
1308
1309    - avoid clearing of argument registers in RESET_TO_BL31 case ([3e14df6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3e14df6f63303adb134d525b373ec7f08c1b1dc6))
1310
1311  - **BL32**
1312
1313    - **TSP**
1314
1315      - loop / crash if mmap of region fails ([8c353e0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8c353e0058e95cfa20c9a760ebd0908a9a9aa1c1))
1316      - use verbose for power logs ([3354915](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3354915fff2ad5f97551c22a44a90f4ff7b7cc9b))
1317
1318- **Services**
1319
1320  - **RME**
1321
1322    - update sample platform attestation token ([19c1dce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/19c1dcef88cb837abe175b89739e75e27539a561))
1323
1324    - **TRP**
1325
1326      - preserve RMI SMC X4 when not used as return ([b96253d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b96253db08383c3edfb417c505c8da6f7b1dbe75))
1327
1328    - **RMMD**
1329
1330      - add missing padding to RMM Boot Manifest and initialize it ([dc0ca64](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dc0ca64e4b6c86090eee025293e7ae7f1fe1cf12))
1331
1332  - **SPM**
1333
1334    - **EL3 SPMC**
1335
1336      - fix coverity scan warnings ([1543d17](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1543d17b9876add1cb89c1f5ffe0e6a129f5809e))
1337      - improve bound check for descriptor ([def7590](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/def7590b3e34ff69b297c239cb8948d0bdc9c691))
1338      - report execution state in partition info get ([62cd8f3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/62cd8f3147ed7fb146168c59cab3ba0e006210ad))
1339
1340    - **SPMD**
1341
1342      - fix build error with spmd ([fd51b21](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fd51b21573ec2e0d815caecb89cc323aac0fca6d))
1343
1344- **Libraries**
1345
1346  - **CPU Support**
1347
1348    - do not put RAS check before using esb ([9ec2ca2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ec2ca2d453176179f923d7e0fbaac05341ebdc6))
1349    - use hint instruction for "tsb csync" ([7a181b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7a181b7d046a710db5238fb37047816636d2bb8a))
1350    - workaround for Cortex-A510 erratum 2684597 ([aea4ccf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aea4ccf8d9f3eabbc931f0e82df65ffca28c25e5))
1351    - workaround for Cortex-A710 erratum 2282622 ([89d85ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/89d85ad0aad4fef7f56a9e18968b49e2b843ca9d))
1352    - workaround for Cortex-A710 erratum 2768515 ([b87b02c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b87b02cf1d93f2be2113192cd5f1927e33121a80))
1353    - workaround for Cortex-A78 erratum 2742426 ([a63332c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a63332c517ac5699644d3e2fbf159d3e35c32549))
1354    - workaround for Cortex-A78 erratum 2772019 ([b10afcc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b10afcce5ff1202e1cd922dbd3c1e5980b478429))
1355    - workaround for Cortex-A78 erratum 2779479 ([7d1700c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d1700c4d475358539c9a84cb325183c86a06f33))
1356    - workaround for Cortex-A78C erratum 1827430 ([672eb21](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/672eb21e26a41657b8146372d4283e794b430c5f))
1357    - workaround for Cortex-A78C erratum 1827440 ([b01a59e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b01a59eb2a0456ca3ae6b8d020068ba846f813d4))
1358    - workaround for Cortex-A78C erratum 2772121 ([00230e3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/00230e37e3c21fed4a46eeb69dea9d808f8402b4))
1359    - workaround for Cortex-A78C erratum 2779484 ([66bf3ba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66bf3ba482e46137e19f368f1386436a33eaba74))
1360    - workaround for Cortex-X2 erratum 2282622 ([f9c6301](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f9c6301d743405bd91b9a1fe433ce14fa60a830f))
1361    - workaround for Cortex-X2 erratum 2768515 ([1cfde82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1cfde82227558a8cc1792c068bc7a7cdf8feab43))
1362    - workaround for Cortex-X3 erratum 2615812 ([c7e698c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c7e698cfdedbe2b1c8212dd71477f289f7644953))
1363    - workaround for Neoverse N2 erratum 2743089 ([1ee7c82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ee7c8232c153203d104f148a33e6f641d503f96))
1364    - workaround for Neoverse V1 errata 2743233 ([f1c3eae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1c3eae9e091a63b42eebae8b03d4d470c9c3f75))
1365    - workaround for Neoverse V1 errata 2779461 ([2757da0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2757da06149238041308060e5cb51f0870a02a15))
1366    - workaround for Neoverse V1 erratum 2743093 ([31747f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31747f057b13b5934b607b7021139e58a55f7766))
1367    - workaround platforms non-arm interconnect ([ab062f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab062f0510d42b2019667e3f4df82a1f57121412))
1368
1369  - **EL3 Runtime**
1370
1371    - allow SErrors when executing in EL3 ([1cbe42a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1cbe42a510812a4a4415a26ba46821cad1c04b68))
1372    - do not save scr_el3 during EL3 entry ([e61713b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e61713b00715fc988a970687f9bf53418b81b0ca))
1373    - restore SPSR/ELR/SCR after esb ([ff1d2ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff1d2ef387f085fdada4a122284b3b044fdde09c))
1374
1375    - **RAS**
1376
1377      - do not put RAS check before esb macro ([7d5036b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d5036b8ec911d83ede6eb73f1693b6f160d90ed))
1378
1379  - **FCONF**
1380
1381    - fix FCONF_ARM_IO_UUID_NUMBER value ([e208f32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e208f3244b311a23b3e7fa1c03b3e98a6228714a))
1382    - make struct fconf_populator static ([40e740d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40e740dc14e807455d8db99dc758af355aa7fa8f))
1383
1384  - **OP-TEE**
1385
1386    - address late comments and fix bad rc ([8d7c80f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8d7c80fa4c5ab17e25d6d82ff0b1e67795e903fb))
1387    - return UUID for image loading service ([85ab882](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85ab88238183be1e27835e14e3588fb73e0f6aa7))
1388
1389  - **PSCI**
1390
1391    - do not panic on illegal MPIDR ([8a6d0d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a6d0d262ae03db0a0bedd047a2df6f95e8823f6))
1392    - potential array overflow with cpu on ([6632741](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66327414fb1e3248d443f4eb2835f437625fb92c))
1393    - remove unreachable switch/case blocks ([ad27f4b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad27f4b5d918bbd1feb9a2deed3cb0e2ae39616e))
1394    - tighten psci_power_down_wfi behaviour ([695a48b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/695a48b5b4366d1005f8b9a0fc83726914668fb5))
1395
1396  - **GPT**
1397
1398    - fix compilation error for gpt_rme.c ([a0d5147](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0d5147b8282374e107461421bb229272fde924b))
1399
1400  - **SMCCC**
1401
1402    - check smc_fid [23:17] bits ([f8a3579](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f8a35797b919d8ea041480bd5eb2a334e7056e0b))
1403
1404  - **C Standard Library**
1405
1406    - properly define SCHAR_MIN ([06c01b0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06c01b085fb28fcfe26d747da2ba33415dbd52b9))
1407    - remove __putchar alias ([28dc825](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28dc82580e50961f9b76933b20d576a6afc5035c))
1408
1409  - **Context Management**
1410
1411    - enable SCXTNUM access ([01cf14d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/01cf14dd41cae9c68cb5e76a815747a0d2a19a4a))
1412
1413- **Drivers**
1414
1415  - **Authentication**
1416
1417    - avoid out-of-bounds read in auth_nvctr() ([abb8f93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/abb8f936fd0ad085b1966bdc2cddf040ba3865e3))
1418    - forbid junk after extensions ([fd37982](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fd37982a19a4a2911912ce321b9468993a0919ad))
1419    - only accept v3 X.509 certificates ([e9e4a2a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9e4a2a6fd33d8fc21b00cfc9816a3dd3fef47fe))
1420    - properly validate X.509 extensions ([f5c5185](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f5c51855d36e399e6e22cc1eb94f6b58e51b3b6d))
1421    - reject invalid padding in digests ([f47547b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f47547b35462571636a76b737602e827ae43bc24))
1422    - reject junk after certificates ([ca34dbc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca34dbc0cdb1c4e1ab62aa4dd195cf9389b9edb7))
1423    - reject padding after BIT STRING in signatures ([a8c8c5e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a8c8c5ef2a8f5a27772eb708f2201429dd8d32b2))
1424    - require at least one extension to be present ([72460f5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72460f50e2437a85ce5229c430931aab8f4a0d5b))
1425    - require bit strings to have no unused bits ([8816dbb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8816dbb3819e626d14e1bb9702f6446cb80e26f0))
1426    - use NULL instead of 0 for pointer check ([654b65b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/654b65b36d60a9c08e1d0cd88b35cd7bc2c813af))
1427
1428    - **mbedTLS**
1429
1430      - fix mbedtls coverity issues ([a9edc32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a9edc32c8964ffe047909b4847edd710b5879f35))
1431
1432  - **Console**
1433
1434    - correct scopes for console symbols ([03bd481](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/03bd48102b575a9c86eed73866a5f9cd4d03e2d5))
1435    - fix crash on spin_unlock with cache disabled ([5fb6946](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5fb6946ad70f5c6e82502a704633bba1dd82e507))
1436
1437  - **I/O**
1438
1439    - compare function pointers with NULL ([06d223c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06d223cb4f54543299b96d40a682e33f9147e192))
1440
1441  - **MMC**
1442
1443    - align part config type ([53cbc94](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53cbc949670877d1b661782ab452f6fac2302ce3))
1444    - do not modify r_data in mmc_send_cmd() ([bf78a65](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf78a6504254be9bf2cee38828a72f84773d4aa7))
1445    - explicitly check operators precedence ([14cda51](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14cda5168de45bbbcce1a5152140111d4fc8fd21))
1446    - remove redundant reset_to_idle call ([bc0a738](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc0a73866f3e4f7138892b228eb592be118b40d2))
1447
1448  - **GUID Partition Tables Support**
1449
1450    - add missing curly braces ([1290662](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1290662034578b4e52443c79f34dfd7c284c0435))
1451    - add U suffix for unsigned numbers ([d1c6c49](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d1c6c495541b6e387179f987acbef274a12c7535))
1452
1453  - **SCMI**
1454
1455    - change function prototype to fix gcc error ([f0f2c90](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0f2c90365d933ee0a160b4bf5723fc303d9ab73))
1456    - fix compilation error in scmi base ([7c38934](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c3893423d6ba5088f92f4ebdb626285759a1bcd))
1457
1458  - **UFS**
1459
1460    - device present (DP) field is set to '1' ([83103d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/83103d1264fe3cd7d54f3a89121d6889b4d33980))
1461    - flush the entire PRDT ([83ef869](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/83ef8698f9d1477c892cad15b4e48574ed634903))
1462    - only allow using one slot ([56db7b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56db7b8b08d5bb350a02e1f794dc6eb02827917f))
1463    - poll UCRDY for all commands ([6e57b2f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e57b2f00e36e63da765e3aa1650b03772999726))
1464    - set the PRDT length field properly ([20fdbcf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/20fdbcf502bd457a4b74ffa9a610d573594f1f6c))
1465
1466  - **Arm**
1467
1468    - **Ethos-N**
1469
1470      - add workaround for erratum 2838783 ([5a89947](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a89947ab3ef8541b7adb6058af9ef141073043d))
1471
1472    - **GIC**
1473
1474      - wrap cache enabled assert under plat_can_cmo ([78fbb0e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/78fbb0ec8372a638b2b2a0276776892141ff43f8))
1475
1476      - **GICv3**
1477
1478        - fixed bug in the initialization of GICv3 SGIs/(E)PPIs interrupt priorities ([5d68e89](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d68e8913ea983b21eb4a1163e6215ff8f8e96e4))
1479        - restore scr_el3 after changing it ([1d0d5e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d0d5e40206c693e24b0a4de7dbcfc4b79f3138e))
1480        - workaround for NVIDIA erratum T241-FABRIC-4 ([a02a45d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a02a45dfef4b02fa363a5f843ba6a0aac52d181f))
1481
1482    - **RSS**
1483
1484      - do not consider MHU_ERR_ALREADY_INIT as error ([55a7aa9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/55a7aa9252acfc9712a914e74bcddefc3a8d6390))
1485      - fix msg deserialization bugs in comms ([dda0528](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dda052851a78fad150b6565ea4bb75644bd37dce))
1486      - remove null-terminator from RSS metadata ([85a14bc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85a14bc0a9598668c4678f9eda2ba497acba5ced))
1487
1488  - **NXP**
1489
1490    - fix fspi coverity issue ([5199b3b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5199b3b93c6ada8dd830f625f77987d3474a6f98))
1491    - fix sd secure boot failure ([236ca56](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/236ca5667e8ac82aa53d4e933a78e6ca1ebf456e))
1492    - fix tzc380 memory regions config ([07d8e34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07d8e34fdd5a81b6fe5f805560be44c1063cea79))
1493    - use semicolon instead of comma ([50b8ea1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/50b8ea115f117e17646d73fe7606bee14bd02630))
1494
1495    - **NXP Crypto**
1496
1497      - fix coverity issue ([e492299](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e49229911f4e08e317453883886a113f3332b776))
1498      - fix secure boot assert inclusion ([334badb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/334badb50f3ad55762785a6ba0266c2eb4d93e8e))
1499
1500    - **DDR**
1501
1502      - add checking return value ([e83812f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e83812f11a2d725931de88308c5b520d88bcca86))
1503      - apply Max CDD values for warm boot ([00bb8c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/00bb8c37e0fe57ae2126857ce2d2700106a76884))
1504      - fix coverity issue ([2d541cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d541cbcbe90217df107e1ac0c4adb76d647b283))
1505      - fix underrun coverity issue ([87612ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/87612eaefff34548b72fed0d8c93dcf73f9b8c81))
1506      - use CDDWW for write to read delay ([fa01056](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa0105693c85eacf6eda22eca63f220d304f7768))
1507
1508  - **ST**
1509
1510    - **Clock**
1511
1512      - avoid arithmetics on pointers ([4198fa1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4198fa1db7297d8385bb6624d4bd475870e5bf12))
1513      - give the size for parent_mp13 and dividers_mp13 tables ([ee21709](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ee21709e98a9e0f60a46d79caf5b702a0b7941cc))
1514      - remove useless switch ([69a2e32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/69a2e320b6798ce3cf5cb27bf70e3384cfac3ebb))
1515      - use Boolean type for tests ([c3ae7da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3ae7da02dd8b358239dde47c3325e333af81056))
1516
1517    - **Crypto**
1518
1519      - move flag control into source code ([6a187a0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a187a002ee72ef865222870b2ecf99cf4d4efb8))
1520      - remove platdata functions ([6b3ca0a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b3ca0a81723290e2d9b33c406c0e65c1870baa8))
1521      - set get_plain_pk_from_asn1() static ([70a422b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70a422ba83df3f572af1d2931e950feb78592ca3))
1522
1523    - **GPIO**
1524
1525      - define shift as uint32_t ([5d942ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d942ff1964131bf33f445f66175fe8211c77e23))
1526
1527    - **SDMMC2**
1528
1529      - check transfer size before filling register ([029f81e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/029f81e04c0232843f3e546fa080778a1008a9c5))
1530
1531    - **ST PMIC**
1532
1533      - define pmic_regs table size ([3cebeec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3cebeec2ae452d33ec0cea322f4ab18137e41631))
1534      - enclose macro parameter in parentheses ([be7195d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be7195d06cb7731fe0d906c6eabe6cb6f39f29b1))
1535
1536    - **Regulator**
1537
1538      - enclose macro parameters in parentheses ([91af163](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/91af163cbbfab936e70568998e8b9dcb10203b8e))
1539      - explicitly check operators precedence ([68083e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68083e7ad5ded7adbeca147546bbda6c14cab049))
1540      - rework for_each_*rdev macros ([6a3ffb5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a3ffb53910f136d14ddad5042da01a03e5087c4))
1541      - use Boolean type for tests ([9a00daf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a00daf9dd0a25da45a43142ca27126e6e26a622))
1542
1543    - **USB**
1544
1545      - replace redundant checks with asserts ([02af589](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02af589cfa8d8aefaffeef3390e3fb8fdf51978f))
1546
1547- **Style**
1548
1549  - correct some typos ([1b491ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b491eead580d7849a45a38f2c6a935a5d8d1160))
1550
1551- **Miscellaneous**
1552
1553  - **AArch64**
1554
1555    - allow build with ARM_ARCH_MINOR=4 ([78f56ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/78f56ee71c9ffe7a6ee36268f0fe1f7ca7d01738))
1556
1557  - **FDT Wrappers**
1558
1559    - use correct prototypes ([e0c56fd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0c56fd71fbd7e8ef307777db8940fb2cf3c9957))
1560
1561  - **FDTs**
1562
1563    - **STM32MP1**
1564
1565      - **STM32MP15**
1566
1567        - use /omit-if-no-ref/ for spi and i2c ([d480df2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d480df2116fc0d629d52f654bc218ee36251cb33))
1568        - use interrupts-extended for i2c2 ([600c8f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/600c8f7d953d466e0ec5fd04bd6ef2e44c9c9125))
1569
1570  - **PIE**
1571
1572    - pass `-fpie` to the preprocessor as well ([966660e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/966660ecd0c8a3d6e4d18a5352bb431e71a9a793))
1573
1574  - **UUID**
1575
1576    - add missing `#include` directives ([12562af](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12562af369e897c67aa45bfeb97cd7bb5d500cf6))
1577
1578  - add missing click dependency ([ff12683](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff12683e87e44ead813600fac5415e05e7f95700))
1579  - add parenthesis for tests in MIN, MAX and CLAMP macros ([8406db1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8406db14fbba19c25d000eaeab538a0474795da1))
1580  - increase BL32 limit ([c2a7612](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2a76122c88e9ba5de493e1aa765ad170614a31d))
1581  - remove old-style declarations ([f4b8470](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4b8470feee4437fb3984baeee8c61ed91f63f51))
1582  - remove useless "return" at void functions ([af4d8c6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af4d8c6d505c001ee78ea9dd9d8dd76ba039af9b))
1583  - unify fallthrough annotations ([e138400](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e138400d1c19a561eaf9f23b0cadc07226684561))
1584
1585- **Documentation**
1586
1587  - add a build.tools.python entry ([4052d95](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4052d9583c850feeb8add29734bda0ef0343c238))
1588  - add few missed links for Security Advisories ([43f3a9c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/43f3a9c4d67da76a00f9050e7cfe1333da51ff92))
1589  - add plantuml as a dependency ([65982a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/65982a94ef113d5d652d8e1a521b219be75fca42))
1590  - add readthedocs configuration file ([8a84776](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a84776340bf4215d235b7b6dc09cf94aed8c6b3))
1591  - deprecate plat_convert_pk() in v2.9 ([e0f58c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0f58c7fb685560933e3583cb1dfab8fb2963692))
1592  - make required compiler version == rather than >= ([415195c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/415195c03e6e1b3a5335ee242ab4116d2d1ac0b1))
1593  - python version must be string ([3aa919e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3aa919eb278e7e0b23742ea043d79e1b1f1d75c6))
1594  - specify python version to 3.10 ([a7773c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a7773c590d0319bdf3b4ddc67c7b22180020224b))
1595
1596- **Build System**
1597
1598  - add a default value for INVERTED_MEMMAP ([4d32f91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d32f9138d61719bbaab57fdd853877a7e06b1cd))
1599  - allow lower address access with gcc-12 ([dea23e2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dea23e245fb890c6c06eff7d1aed8fffa981fc05))
1600  - allow warnings when using lld ([ebac692](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ebac6922d1f6fc16c5d3953dfb512553001dcdd3))
1601  - partially fix qemu aarch32 build ([c68736d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c68736dab5631af3d9a1d33cb911e90e67e8ee34))
1602
1603- **Tools**
1604
1605  - **NXP Tools**
1606
1607    - fix coverity issue ([4fa0f09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4fa0f097399c7d396bc14a6692476ada6981c458))
1608
1609  - **Secure Partition Tool**
1610
1611    - add dependency to SP image ([4daeaf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4daeaf341a347a60fd481fb4a1530f18f8e4c058))
1612
1613  - **Certificate Creation Tool**
1614
1615    - change WARN to VERBOSE ([76a85cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76a85cfa0ab5e7093ad18601b7e73a1e425d8025))
1616
1617- **Dependencies**
1618
1619  - add missing aeabi_memset.S ([bdedee5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bdedee5a0f156d05eb62c704e702bfd1c506dc5d))
1620
1621### New Features
1622
1623- **Architecture**
1624
1625  - **Extended Translation Control Register (FEAT_TCR2).**
1626
1627    - add FEAT_TCR2 to the changelog ([a366640](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a366640cf22d7d0e610564f81e189f6037ff9473))
1628    - support FEAT_TCR2 ([d333160](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d3331603664ca7d4ab1510df09e722e6ffb1df29))
1629
1630  - **CPU feature / ID register handling in general**
1631
1632    - enable FEAT_SME for FEAT_STATE_CHECKED ([45007ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/45007acd46981b9f289f03b283eb53e7ba37bb67))
1633    - enable FEAT_SVE for FEAT_STATE_CHECKED ([2b0bc4e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b0bc4e028a75d75c6d6942ddd404ef331db29be))
1634    - extend check_feature() to deal with min/max ([a4cccb4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4cccb4f6cbbb35d12bd5f8779f3c6d8d762619c))
1635
1636  - **Guarded Control Stack (FEAT_GCS)**
1637
1638    - support guarded control stack ([688ab57](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/688ab57b9349adb19277d88f2469ceeadb8ba083))
1639
1640  - **Support for the `HCRX_EL2` register (FEAT_HCX)**
1641
1642    - initialize HCRX_EL2 to its default value ([ddb615b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ddb615b419074727ac0a1430cf0f88bd018ac8df))
1643
1644  - **Scalable Matrix Extension (FEAT_SME, FEAT_SME2)**
1645
1646    - enable SME2 functionality for NS world ([03d3c0d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/03d3c0d729e24713d657209bedf74d255550babb))
1647
1648- **Platforms**
1649
1650  - **Allwinner**
1651
1652    - add extra CPU control registers ([b15e2cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b15e2cda14b3ffddebd8b40cc5c31c1c0e9cbf0d))
1653    - add function to detect H616 die variant ([fbde260](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fbde260b11171f0f67afbc631e22fe26366ff448))
1654    - add support for Allwinner T507 SoC ([018c1d8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/018c1d878fbfd696ebeda52b5188e4658b87bf75))
1655
1656  - **Arm**
1657
1658    - add ARM_ROTPK_LOCATION variant full key ([5f89928](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f899286eac994b8337959ad924a43c1a4a543c9))
1659    - carveout DRAM1 area for Event Log ([6b2e961](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b2e961fb1428c3fe213c524164a00fcaee495c4))
1660
1661    - **FVP**
1662
1663      - add Event Log maximum size property in DT ([1cf3e2f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1cf3e2f0a8eb0d6324ce3db68dd5c78bdb690a8a))
1664      - copy the Event Log to TZC secured DRAM area ([191aa5d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/191aa5d3fc793c5c4cd8960d1ef7b95010cc9d87))
1665      - define ns memory in the SPMC manifest ([7f28179](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f28179a46b40ede461326dd329eb832c0d72b0d))
1666      - emulate trapped RNDR ([1ae7552](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ae75529bc2e5a213c3e458898c219c34aa99f65))
1667      - enable errata management interface ([d3bed15](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d3bed15851a1b35b2608f7275f1294c8d4f7aee7))
1668      - enable FEAT_FGT by default ([15107da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15107daad6b83b4ee1edfebf420b6779a054318e))
1669      - enable FEAT_HCX by default ([2e12418](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2e1241888ee82a5a9b3b30acd83a1f4ea6732f1b))
1670      - enable support for PSCI OS-initiated mode ([e75cc24](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e75cc247c744d21e52f834a442bf1c26d0ab6161))
1671      - increase BL1_RW and BL2 size ([dbb9c1f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dbb9c1f5b69134ca43c944d84b413331a64fba15))
1672      - introduce PLATFORM_TEST_EA_FFH config ([fe38cc6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fe38cc68975b23084b4ba512254926941c865a07))
1673      - introduce PLATFORM_TEST_RAS_FFH config ([5602ce1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5602ce1d8db3256a7766776cb908b1f716c2d463))
1674      - update device tree with load addresses of TOS_FW config ([1779762](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/177976286e347acd905d8082f31c201b9900d28e))
1675
1676    - **Juno**
1677
1678      - support ARM_IO_IN_DTB option for Juno ([2fad320](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2fad320f5623edcdd23297ab57c4b9b0b0ef872c))
1679
1680    - **Morello**
1681
1682      - add GPU DT node ([cd94c3d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd94c3d6ad5e738c2583486b7a973bd8e516089b))
1683      - add support for HW_CONFIG ([be79071](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be79071ef73b4b08cca310ec7e7d915faea8f036))
1684      - implement methods to retrieve soc-id information ([cc266bc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc266bcd8c0a1d839151b69436fdf2c1ad07b0a1))
1685
1686    - **RD**
1687
1688      - **RD-N2**
1689
1690        - add platform id value for rdn2 variant 3 ([028c619](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/028c6190d9f3d892a84b5b9cbfdbbab808a73acb))
1691
1692    - **TC**
1693
1694      - enable MPAM functionality of L3 DSU cache ([b45ec8c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b45ec8cea483a38e358146b99205504ff7f98001))
1695      - add delegated attest and measurement tests ([25dd217](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25dd2172ae564c74b7e8b42aa96d5ee9a865ec75))
1696      - allow secure watchdog timer to trigger periodically ([28b2d86](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28b2d86cd28ffc54c6272defcd6f123a925012f1))
1697      - use smmu 700 ([ed80eab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed80eab6a686ce1042300cfbdb90e13366aa08d4))
1698
1699  - **Intel**
1700
1701    - extending to support SMMU in FCS ([4687021](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4687021d2eedea880ad8596b32e85da72f8cba02))
1702    - fix bridge disable and reset ([9ce8251](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ce82519c65f0dd93d2673ebb967d02f52b19a04))
1703    - implement timer init divider via CPU frequency for N5X ([02a9d70](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02a9d70c4deaa2102386611ac6b305838003148d))
1704    - setup FPGA interface for Agilex ([3905f57](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3905f57134853f47f6e859b8b6322a7dbbfc49f7))
1705
1706  - **MediaTek**
1707
1708    - add APU init flow ([5243091](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5243091633b8fe8057cec176ac31adb72fdf3506))
1709    - add new features of LPM ([917abdd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/917abdd99012d01ef4fa804ecec1503bef68ed9b))
1710    - add SiP service for OP-TEE ([621eaab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/621eaab5cc3c9d98783700b7515b1da118b3d21c))
1711    - add SMC handler for EMI MPU ([c842cc0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c842cc0e5d1432a681cbddce62a852ff282169ae))
1712    - add SPM's SSPM notifier ([c234ad1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c234ad17d7d7278e1afa0f416982bb0f60a04dcf))
1713
1714    - **MT8188**
1715
1716      - add apu power on/off control ([8e38b92](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8e38b928490516d308bdceebc4ad032852bf2716))
1717      - add MT8188 SPM debug logs ([f85b34b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f85b34b112eec006c14afab0eadbd45d1b0d0e7e))
1718      - add MT8188 SPM support ([45d5075](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/45d507599e213f8f3a26502c3ca8de6b1cfdc611))
1719      - add SPM feature support ([f299efb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f299efbea685aa8075ec4d6d0f70d189cce3ee07))
1720      - add the register definitions accessed by SPM ([1a64689](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a64689df5e7bf78aa8724c1d75f414ea62750eb))
1721      - enable SPM and LPM ([380f64b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/380f64b2e39c60cb9a1f751b25cbce11c5e03e20))
1722      - keep infra and peri on when system suspend ([e56a939](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e56a939cabb5ae0fe967c19ddacf97304c563f37))
1723      - update INFRA IOMMU enable flow ([98415e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/98415e1a80ca025a000241cf3fc175272890c0e8))
1724
1725    - **MT8195**
1726
1727      - add support for SMC from OP-TEE ([ccc61e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ccc61e10029b8ddfcb5cb65201862a18ebbc953d))
1728
1729  - **NVIDIA**
1730
1731    - **Tegra**
1732
1733      - implement 'pwr_domain_off_early' handler ([96d07af](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/96d07af402a5d191b7d1200a75c1b206f21cc395))
1734
1735  - **NXP**
1736
1737    - **i.MX**
1738
1739      - **i.MX 8M**
1740
1741        - add more dram pll setting ([4234b90](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4234b902ae37ca05640888e31405ec97c8cde316))
1742        - fix the ddr4 dvfs random hang on imx8m ([093888c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/093888caaf54cbfe38d4b68406d98fbcf5c7d81f))
1743        - update the ddr4 dvfs flow to include ddr3l support ([0e39488](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e39488ff3f2edac04d7f5acb58d9a22baa3a69e))
1744        - use non-fast wakeup stop mode for system suspend ([ef4e5f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef4e5f0f105f184f02ad4d1cc17cecec9b45502a))
1745
1746        - **i.MX 8Q**
1747
1748          - add anamix pll override setting for DSM mode ([387a1df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/387a1df18e0b5bf1d305c72df284b1b89f3c1cd3))
1749          - add BL31 PIE support ([8cfa94b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8cfa94b7a7fc398cc0ea803891f6277065bb7575))
1750          - add the dram retention support for imx8mq ([dd108c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dd108c3c1fe3f958a38ae255e57b41e5453d077f))
1751          - add version for B2 ([99475c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99475c5dcc14123dda51bda32d21753f0b4c357d))
1752          - add workaround code for ERR11171 on imx8mq ([88a2646](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/88a264657fad2f71369fec4b53478e8a595d10e9))
1753          - always set up console ([36be108](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/36be10861e851e7e4df06bb08aab60d8e878d2b2))
1754          - correct the slot ack setting for STOP mode ([724ac3e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/724ac3e2c23441d11f642f2ae91c8a8834ea179f))
1755          - enable dram dvfs support on imx8mq ([8962bdd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8962bdd603508f649fd7a332e580c0e456ccc0ad))
1756          - make IMX_BOOT_UART_BASE configurable via build parameter ([202737e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/202737efda85b2ea61934123b8ffa492f5dc3679))
1757          - remove empty bl31_plat_runtime_setup ([7698dba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7698dbab96072881e0912322db5036529bf8553c))
1758
1759      - **i.MX 8**
1760
1761        - add support for debug uart on lpuart1 ([8406447](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8406447f13c65fe93aab7ed641b7e8fe3eb47a0b))
1762
1763    - **Layerscape**
1764
1765      - **LX2**
1766
1767        - enable OCRAM ECC ([e8faff3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8faff3da962ce112e32d8f1fdb8155e078eae75))
1768        - support more variants ([c07f5e9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c07f5e9e50959a3667e5a96ac808d1d16bb72698))
1769
1770  - **QEMU**
1771
1772    - add "neoverse-n1" cpu support ([226f4c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/226f4c8e35c4441e80ad523b9105eab4ca630396))
1773    - add A76/N1 cpu support for virt ([6b66693](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b66693685f828a51c7f78bfa402d6b192169a6d))
1774    - combine TF-A artefacts into ROM file ([63bb905](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/63bb90569792893a4e7401004c23cde488fda0cc))
1775    - increase max cpus per cluster to 16 ([73a7aca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/73a7aca2a53d4dbb62909c5741830eee9eac5ee8))
1776    - increase size of bl2 ([db2bf3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/db2bf3ac193f66f365b962b911e7bb2ffbde0a25))
1777    - make coherent memory section optional ([af994ae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af994ae8a089ead6082ca82036d30074f554ed52))
1778    - support el3 spmc ([302f053](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/302f05354f5aab340c315e0d04915367c65c6b27))
1779    - support pointer authentication ([cffc956](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cffc956edf3a14508ed5740c1ed093326ca67e72))
1780    - support s-el2 spmc ([36802e2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/36802e2c792f79ab630b53298dfd4f1e5a95d173))
1781    - update abi between spmd and spmc ([25ae7ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25ae7ad1878244f78206cc7c91f7bdbd267331a1))
1782
1783  - **QTI**
1784
1785    - **SC7280**
1786
1787      - add support for PSCI_OS_INIT_MODE ([e528bbe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e528bbec74af359714203c7f8d356074733ea9cd))
1788
1789    - **MSM8916**
1790
1791      - expose more timer frames ([1781bf1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1781bf1c40594e3a3f36404da793d5c7a6bca533))
1792
1793  - **ST**
1794
1795    - mandate dtc version 1.4.7 ([38ac8bb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/38ac8bbbe450343e8545a44f370ff9da57cbed26))
1796
1797    - **STM32MP1**
1798
1799      - add mbedtls-3.3 support config ([c9498c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c9498c8f56387ad23530dcc6e57940d2b118d907))
1800
1801  - **Texas Instruments**
1802
1803    - add PSCI system_off support ([0bdef26](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0bdef264c2bd356e2a89fc5ac7c438694618d272))
1804    - add sub and patch version number support ([852378f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/852378fd60d8cc536799639774f1e4ffe124131d))
1805    - disable L2 dataless UniqueClean evictions ([10d5cf1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10d5cf1b26f03d61a90cdcff5163965fa48e291c))
1806    - do not handle EAs in EL3 ([2fcd408](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2fcd408bb3a6756767a43c073c597cef06e7f2d5))
1807    - set L2 cache data ram latency on A72 cores to 4 cycles ([aee2f33](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aee2f33a675891f660fc0d06e739ce85f3472075))
1808    - set L2 cache ECC and and parity on A72 cores ([81858a3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81858a353f8e45f5cc57ce855188043b1745ea08))
1809    - set snoop-delayed exclusive handling on A72 cores ([5668db7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5668db72b724dc256d9b300f6938a08625624a48))
1810    - synchronize access to secure proxy threads ([312eec3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/312eec3ecde9837f61fc0d7b46b4197ec2257ee7))
1811
1812  - **Xilinx**
1813
1814    - add device node indexes ([407eb6f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/407eb6fda06d7be034dc7f1c537183f64126f074))
1815    - sync copyright format ([2774965](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/27749653c7dbea1bd5b34a39085bc7cb12d46501))
1816
1817    - **Versal**
1818
1819      - replace irq array with switch case ([0ec6c31](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ec6c31320c6d86e89dce8775af2bbdfa7a302fa))
1820      - switch to xlat_v2 ([0e9f54e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e9f54e5bb7f4b44bca9c63cce37913070fea23a))
1821
1822      - **Versal NET**
1823
1824        - add jtag dcc support ([30e8bc3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/30e8bc365c1007da97f93c71e5fa16b6be56b679))
1825        - add support for set wakeup source ([c38d90f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c38d90f7964ddf186f4cbaad6da91dd0a44627e3))
1826        - add support for uart1 console ([2f1b4c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2f1b4c55502262dba0ccd147f87cdb38cf4131f2))
1827
1828    - **ZynqMP**
1829
1830      - add hooks for custom runtime setup ([88a8938](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/88a8938e62989b7319b20c46c046aa8845852ce9))
1831      - add hooks for mmap and early setup ([7013400](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70134000842cbc7c052031dd453bdec8f4cb73f1))
1832      - add SMCCC_ARCH_SOC_ID support ([8f9ba3f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8f9ba3f344545740fc44e90fb8322c7728ae94ec))
1833      - add support for custom sip service ([496d708](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/496d708154d893fb9f412390acd433337faccecc))
1834      - build pm code as library ([3af2ee9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3af2ee906842378ee91f07aa4ea5565cd1a0f8c2))
1835      - bump up version of query_data API ([aaf5ce7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aaf5ce77fb22f54a8ca7bc8d3be6172dacbfc0c1))
1836      - make stack size configurable ([5753665](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/57536653e62765f9529d045b118ad881369bc73a))
1837
1838- **Services**
1839
1840  - **RME**
1841
1842    - read DRAM information from FVP DTB ([8268590](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/826859049859a5bd88e142695e10a559d85721c1))
1843    - set DRAM information in Boot Manifest platform data ([a97bfa5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a97bfa5ff18b2682e3b9c528cbd5fb16ceec3393))
1844
1845    - **RMM**
1846
1847      - add support for the 2nd DRAM bank ([346cfe2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/346cfe2b46a83bc9e6656f43ec55a196503b154a))
1848
1849  - **SPM**
1850
1851    - **EL3 SPMC**
1852
1853      - make platform logical partition optional ([555677f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/555677fe81c5e1888254ac36acb0a02b3850dc46))
1854
1855    - **SPMD**
1856
1857      - add support for FFA_EL3_INTR_HANDLE_32 ABI ([6671b3d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6671b3d8224a8c4c3fea7cbe66b56945c432393f))
1858      - copy tos_fw_config in secure region ([0cea2ae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0cea2ae07db089e60322677021da4743a084f9ca))
1859      - fail safe if SPM fails to initialize ([0d33649](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0d33649e3e2a21def73327522b9861b4619fc5c2))
1860      - introduce FFA_PARTITION_INFO_GET_REGS ([eaaf517](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eaaf517cd1bd8c9d5e3e6d2d202a69a0cbcb45bf))
1861      - introduce platform handler for Group0 interrupt ([f0b64e5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0b64e507e9105813d9a5d16f70101cf0d8ca5a4))
1862      - map SPMC manifest region as EL3_PAS ([8c829a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8c829a9240109dd7a66a3c26f734f23477b12551))
1863      - register handler for group0 interrupt from NWd ([a1e0e87](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a1e0e871f10201a9dbdc1dadfd27904888246adc))
1864
1865  - **ERRATA_ABI**
1866
1867    - errata management firmware interface ([ffea384](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ffea3844c00daf8dee466840a4932cac04b3eb57))
1868
1869- **Libraries**
1870
1871  - **CPU Support**
1872
1873    - add support for blackhawk cpu ([6578343](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6578343bb2aab6ec5ae309097047a83445aa12da))
1874    - add support for chaberton cpu ([516a52f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/516a52f6f5cda6acb311ffd6e8fb77f2e09c1357))
1875
1876  - **EL3 Runtime**
1877
1878    - handle traps for IMPDEF registers accesses ([0ed3be6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ed3be6fc2c8d275862959d1ee6a0354cc01ad5d))
1879    - introduce system register trap handler ([ccd81f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ccd81f1e097c3eafe38523110c8eebabbe662508))
1880
1881  - **FCONF**
1882
1883    - rename 'ns-load-address' to 'secondary-load-address' ([05e5503](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/05e550302103a527b9f8d3869942c203c7b2dd65))
1884
1885  - **OP-TEE**
1886
1887    - add device tree for coreboot table ([f4bbf43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4bbf435554e87de31c0a70039aa03b19962aaea))
1888    - add loading OP-TEE image via an SMC ([05c69cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/05c69cf75edf53478e23fce157fea72372b49597))
1889
1890  - **PSCI**
1891
1892    - add support for OS-initiated mode ([606b743](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/606b7430077c15695a5b3bcfbad4975f00c9bf95))
1893    - add support for PSCI_SET_SUSPEND_MODE ([b88a441](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b88a4416b5e5f2bda2240c632ba79e15a9a75c45))
1894    - introduce 'pwr_domain_off_early' hook ([6cf4ae9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cf4ae979a5f8be23927b97ecfe789dabcb53dbd))
1895    - update PSCI_FEATURES ([9a70e69](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a70e69e059863d7aec11883e6345b54058264e0))
1896
1897  - **C Standard Library**
1898
1899    - add %c to printf/snprintf ([44d9706](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44d9706e5428d8e3588d04565c7cd738ffc1e472))
1900    - add support for fallthrough statement ([023f1be](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/023f1bed1dde23564e3b66a99c4a45b09e38992b))
1901
1902  - **PSA**
1903
1904    - add read_measurement API ([6d0525a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6d0525aafe17e7affb0f71e86a5121989c150c42))
1905    - interface with RSS for NV counters ([8374508](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8374508b00909cdffbe6233cf8fddcb49924faed))
1906
1907- **Drivers**
1908
1909  - **Authentication**
1910
1911    - compare platform and certificate ROTPK for authentication ([f1e693a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1e693a77548950cfffcb1d5a4b67cf349e0aed9))
1912
1913    - **mbedTLS**
1914
1915      - add support for mbedtls-3.3 ([51e0615](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/51e061591bbf13af2486c3bb5f37ed609578d145))
1916
1917  - **UFS**
1918
1919    - adds timeout and error handling ([2c5bce3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c5bce3833848dac4fbb2ae19be418145e68c8a1))
1920
1921  - **Arm**
1922
1923    - **Ethos-N**
1924
1925      - add check for NPU in SiP setup ([a2cdbb1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2cdbb1df088cde410aea1d5989dfc500aaf7939))
1926      - add event and aux control support ([7820777](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7820777fa3c8ca454ab40d5d8a8ba0e311bbb6f9))
1927      - add multiple asset allocators ([8a921e3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a921e354575cd16aaa6f2f5a2aeaaaea35ab886))
1928      - add NPU firmware validation ([313b776](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/313b776f851ed184abb265df2b6269fe78f48ecd))
1929      - add NPU sleeping SMC call ([2a2e3e8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a2e3e87706b56fd1b8e787d3a552cfc12725934))
1930      - add NPU support in fiptool ([c91b08c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c91b08c8a44aafac4f72c64aa8d4777b8c73647e))
1931      - add protected NPU firmware setup ([6dcf3e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6dcf3e774457cf00b91abda715adfbefce822877))
1932      - add protected NPU TZMP1 regions ([d77c11e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d77c11e896e04be93caa4a56e50646af6806843f))
1933      - add reserved memory address support ([a19a024](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a19a0241a6f1573e11d4d747dabb756d15ac4801))
1934      - add reset type to reset SMC calls ([fa37d30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa37d30856fef6742bd82e4e0a3252a4d0b9e091))
1935      - add separate RO and RW NSAIDs ([986c4e9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/986c4e991ace5cb40bed35145184e66863c47152))
1936      - add SMC call to get FW properties ([e9812dd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9812ddca6e72c0501ef1e84753f335dcafb74cd))
1937      - add stream extends and attr support ([e64abe7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e64abe7bdaeed99093ae5b4aab8956a04ff4075a))
1938      - add support for NPU to cert_create ([f309607](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f309607229e049a6ff9cbc858efa4dd0c0b921b8))
1939      - add support to set up NSAID ([70a296e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70a296ee8641802dc60754aec5b18d8347820a5c))
1940      - load NPU firmware at BL2 ([33bcaed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33bcaed1211ab27968433b546979687bc1182630))
1941
1942    - **GIC**
1943
1944      - **GICv3**
1945
1946        - enlarge the range for intr_num of structure interrupt_prop_t ([d5eee8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5eee8f3fbf53fce84c979e68433a27c93e3e96b))
1947
1948    - **RSS**
1949
1950      - add TC platform UUIDs for RSS images ([6ef63af](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ef63af65f55e9402e4cdc534928faceb9c6e003))
1951
1952    - **SBSA**
1953
1954      - helper api for refreshing watchdog timer ([e8166d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8166d3e5937b8db43921b5049672b16af7f58e0))
1955
1956- **Miscellaneous**
1957
1958  - **AArch64**
1959
1960    - make ID system register reads non-volatile ([c2fb8ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2fb8ef66ccc8222c70ab802cdaf29f1592cbbb6))
1961
1962  - **FDTs**
1963
1964    - **STM32MP1**
1965
1966      - use /omit-if-no-ref/ for pins nodes ([0aae96c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0aae96cfb9ef826d207f2d18d4a9f21fa1a5dee7))
1967
1968      - **STM32MP15**
1969
1970        - add support for prtt1x board family ([3812ceb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3812ceba8fcd682faeed6e71190a848771fd2022))
1971
1972  - **PIE/POR**
1973
1974    - support permission indirection and overlay ([062b6c6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/062b6c6bf23f9656332b0aa3fed59c15f34f9361))
1975
1976- **Documentation**
1977
1978  - allow verbose build ([f771a34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f771a3446356d92c6c27df5c4f3bb07a2561b36b))
1979
1980- **Build System**
1981
1982  - add support for new binutils versions ([1f49db5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1f49db5f25cdd4e43825c9bcc0575070b80f628c))
1983  - allow additional CFLAGS for library build ([5a65fcd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a65fcd5f9c67baa681f664e4596760ca1f2606a))
1984
1985  - **Git Hooks**
1986
1987    - add pre-commit hook ([cf9346c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf9346cb83804feb083b56a668eb0a462983e038))
1988
1989  - add support for poetry ([793f72c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/793f72c06ca1c2782f800c9f20980ca6b7870072))
1990
1991- **Tools**
1992
1993  - **Firmware Image Package Tool**
1994
1995    - handle FIP in a disk partition ([06e69f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06e69f7c94637c693ea5eb26038096c196d10f07))
1996
1997- **Dependencies**
1998
1999  - **Compiler runtime libraries**
2000
2001    - update source files ([658ce7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/658ce7ad8eceb40741cd40f1639a6d923f922fad))
2002
2003## [2.8.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.7.0..refs/tags/v2.8.0) (2022-11-15)
2004
2005### ⚠ BREAKING CHANGES
2006
2007- **Drivers**
2008
2009  - **Arm**
2010
2011    - **Ethos-N**
2012
2013      - add support for SMMU streams
2014
2015        **See:** add support for SMMU streams ([b139f1c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b139f1cf975f9968eb8bd1182a173b976ecf06f9))
2016
2017### New Features
2018
2019- **Architecture**
2020
2021  - pass SMCCCv1.3 SVE hint bit to dispatchers ([0fe7b9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0fe7b9f2bcdf754c483399c841e5f0ec71e53ef3))
2022
2023  - **Branch Record Buffer Extension (FEAT_BRBE)**
2024
2025    - add brbe under feature detection mechanism ([1298f2f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1298f2f13d6d97dfcac120a2ee68d5eea3797068))
2026
2027  - **Confidential Compute Architecture (CCA)**
2028
2029    - introduce new "cca" chain of trust ([56b741d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56b741d3e41cd6b2f6863a372a9489c819e2b0e9))
2030
2031  - **Pointer Authentication Extension**
2032
2033    - add/modify helpers to support QARMA3 ([9ff5f75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ff5f754aea00d0e86ba5191839fc0faef949fe0))
2034
2035  - **Trapping support for RNDR/RNDRRS (FEAT_RNG_TRAP)**
2036
2037    - add EL3 support for FEAT_RNG_TRAP ([ff86e0b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff86e0b4e6c34d28b8642dd8eb9cbdd517bad195))
2038
2039  - **Scalable Matrix Extension (FEAT_SME)**
2040
2041    - fall back to SVE if SME is not there ([26a3351](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/26a3351edab1501d7e19ae96540c34b2700ac32f))
2042
2043  - **Scalable Vector Extension (FEAT_SVE)**
2044
2045    - support full SVE vector length ([bebcf27](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bebcf27f1c75f48cc129e8608cba113d0db32ef8))
2046
2047  - **Trace Buffer Extension (FEAT_TRBE)**
2048
2049    - add trbe under feature detection mechanism ([47c681b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/47c681b7d7f03e77f6cdd7b5d116ae64671ab8ca))
2050
2051- **Platforms**
2052
2053  - **Arm**
2054
2055    - add support for cca CoT ([f242379](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f24237921e3fa61e64fa1ec845e14e2748d04a2b))
2056    - forbid running RME-enlightened BL31 from DRAM ([1164a59](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1164a59cb16a9bbc672fa6d07895bc6fa0361bcb))
2057    - provide some swd rotpk files ([98662a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/98662a73c903b06f53c9f9da6a9404187fc10352))
2058    - retrieve the right ROTPK for cca ([50b4497](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/50b449776df11cac06347e8ef1af5dae701a0e3a))
2059
2060    - **CSS**
2061
2062      - add interrupt handler for reboot request ([f1fe144](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1fe1440db197d514b5484e780cfb90f504c62b9))
2063      - add per-cpu power down support for warm reset ([158ed58](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/158ed580bdf5736abfa9f16f61be1ca1609e0e41))
2064
2065    - **FVP**
2066
2067      - add example manifest for TSP ([3cf080e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3cf080ed61e90668f0c44ca7f577e51c081e5c7c))
2068      - add crypto support in BL31 ([c9bd1ba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c9bd1bacffd9697ec4ebac77e45588cf6c261a3b))
2069      - add plat API to set and get the DRTM error ([586f60c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/586f60cc571f0f3b6d20eb5033717e9b0cc66af4))
2070      - add plat API to validate that passed region is non-secure ([d5f225d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5f225d95d3dc7473340ffebfcb9068b54f91a17))
2071      - add platform hooks for DRTM DMA protection ([d72c486](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d72c486b52dc654e4216d41dcc1b0f87bdbdf3e9))
2072      - build delegated attestation in BL31 ([0271edd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0271eddb0c00b01033bf651f0eeaf659c0c2dd39))
2073      - dts: drop 32-bit .dts files ([b920330](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b92033075aa27031091e184b54f4dc278ecb27bc))
2074      - fdts: update rtsm_ve DT files from the Linux kernel ([2716bd3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2716bd33e318821c373b3d4dce88110a340a740d))
2075      - increase BL31's stack size for DRTM support ([44df105](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44df105ff867aeb2aa5d20faa3e8389866099956))
2076      - increase MAX_XLAT_TABLES entries for DRTM support ([8a8dace](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a8dace5a5cd3a51d67df3cea86628f29cc96013))
2077      - support building RSS comms driver ([29e6fc5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/29e6fc5cc7d0c8bc4ba615fd97df4cb65d3c7ba3))
2078
2079    - **RD**
2080
2081      - **RD-N2**
2082
2083        - add a new 'isolated-cpu-list' property ([afa4157](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afa41571b856509c25c66c331737b895144b681b))
2084        - add SPI ID ranges for RD-N2 multichip platform ([9f0835e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9f0835e9156f13b56336a47a4b51e90719a852ff))
2085        - enable extended SPI support ([108488f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/108488f9ac026f036c0de2b824b339a30f9a0cbb))
2086
2087    - **SGI**
2088
2089      - increase memory reserved for bl31 image ([a62cc91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a62cc91aeedbdcfb3396983ed165eb35b8d4c3fa))
2090      - read isolated cpu mpid list from sds ([4243ef4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4243ef41d480fd8e870f74defe263156a6c02c8d))
2091      - add page table translation entry for secure uart ([2a7e080](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a7e080cc50be5739afcfb3b7db59e4d610a7d53))
2092      - bump bl1 rw size ([94df8da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94df8da3ab520330b2e7d276603f33e284c27b3f))
2093      - configure SRAM and BL31 size for sgi platform ([8fd820f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8fd820ffb918ad8fdc1f2c72cc64dad5eaff77aa))
2094      - deviate from arm css common uart related definitions ([173674a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/173674ae428aa23e8f2a38d5542d0ea52eed7e80))
2095      - enable css implementation of warm reset ([18884c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18884c002e6c298f27d6e4792eab2c9f4d89bddb))
2096      - remove override for `ARM_BL31_IN_DRAM` build-option ([a371327](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a371327ba9fc2e1c5988ac1436b29c42aab8dfd8))
2097      - route TF-A logs via secure uart ([0601083](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0601083f0ce0045bd957c1343d2196be0887973b))
2098
2099    - **TC**
2100
2101      - add MHU addresses for AP-RSS comms on TC2 ([6299c3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6299c3a0f7c8220b0bf15723ec8995b72bf97677))
2102      - add RSS-AP message size macro ([445130b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/445130b127f411bdf4958fa10f292a930c9ae57d))
2103      - add RTC PL031 device tree node ([a816de5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a816de564f927ebb72ab7692b8b3f46073179310))
2104      - enable RSS backend based measured boot ([6cb5d32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cb5d3268fa41d15480c4e070a51577b333767fe))
2105      - increase maximum BL1/BL2/BL31 sizes ([e6c1316](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6c131655fa168ffd1ae738a74ba25e5f850036c))
2106      - introduce TC2 platform ([eebd2c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eebd2c3f61c90942fb186fa43fbb4c4a543d8b55))
2107      - move start address for BL1 to 0x1000 ([9335c28](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9335c28a019ee2d9ab7a0f9276b91415f3c9f1bc))
2108
2109  - **HiSilicon**
2110
2111    - **HiKey960**
2112
2113      - add a FF-A logical partition ([25a357f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25a357f1932cf2b0d125dd98b82eeacad14005ea))
2114      - add memory sharing hooks for SPMC_AT_EL3 ([5f905a2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f905a249839e9e20ebf44c22d95caaf3a2e5611))
2115      - add plat-defines for SPMC_AT_EL3 ([feebd4c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/feebd4c7a86b6f0fcc1eb5008ba5f7d44e75beaf))
2116      - add SP manifest for SPMC_AT_EL3 ([6971642](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6971642d23d0c5e33e507eb78b7c569045e2f85d))
2117      - define a datastore for SPMC_AT_EL3 ([e618c62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e618c621b3ece7a0262ff9245027132982e6207c))
2118      - increase secure workspace to 64MB ([e0eea33](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0eea337b32e37bbef9bad1310b96b9c0d86f7b9))
2119      - read serial number from UFS ([c371b83](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c371b83f0c5b503c21bd1b6092bc0230032329ce))
2120      - upgrade to xlat_tables_v2 ([6cfc807](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cfc8078d032d278e09523e236ab5b36f69f2ec0))
2121
2122  - **MediaTek**
2123
2124    - add more flexibility of mtk_pm.c ([6ca2046](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ca2046ef15dcf19fbda5f12cbfe1004d340c969))
2125    - add more options for build helper ([5b95e43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b95e439c745dcf94899238b82826d8f1d32acbe))
2126    - add smcc call for MSDC ([4dbe24c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4dbe24cf7d2b04c552f394062f42c30fee7e26a6))
2127    - extend SiP vendor subscription events ([99d30b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99d30b72c02502731ecf116acfda44ee3c2c9e5e))
2128    - implement generic platform port ([394b920](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/394b92084d53e2bf8960731be7a79c999871f127))
2129    - introduce mtk init framework ([52035de](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52035dee1ae7b0f2f0d5f16c734ca7a5cea127b7))
2130    - move dp drivers to common folder ([d150b62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d150b6296e6960f2548b265b8b23e6cdb502d3b7))
2131    - move lpm drivers back to common ([cd7890d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd7890d79e9d508e82f3078f02e8277f8c8df181))
2132    - move mtk_cirq.c drivers to cirq folder ([cc76896](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc76896d9e416b15548b2d6bf068e5d3f9b4064a))
2133    - support coreboot BL31 loading ([ef988ae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef988aed9e09a4108b87decb14dee5f2d23230a4))
2134
2135    - **MT8186**
2136
2137      - add EMI MPU support for SCP and DSP ([3d4b6f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d4b6f932444c7b0f70f8654b92193b294527056))
2138
2139    - **MT8188**
2140
2141      - add armv8.2 support ([45711e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/45711e4e1614fbed75ea645777cc2bb11d4be96f))
2142      - add audio support ([c70f567](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c70f567ad75c30a990cb60c71b6c0b02538366fd))
2143      - add cpu_pm driver ([4fe7e6a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4fe7e6a8d9f09c40d087167432cb07621c175b3f))
2144      - add DCM driver ([bc9410e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc9410e2376e0b6355ea6440aa90ad968fc5f3b3))
2145      - add DFD control in SiP service ([7079a94](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7079a942bd9705fd9e0cd220324f7dfd9c53dcad))
2146      - add display port control in SiP service ([a4e5023](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4e502319d136d8854ef2ed4aaa6d5368541e551))
2147      - add EMI MPU basic drivers ([8454f0d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8454f0d65eeb85b72f454376faa0f7a15226e240))
2148      - add IOMMU enable control in SiP service ([be45724](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be457248c6b0a7f3c61bd95af58372938d13decd))
2149      - add LPM driver support ([f604e4e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f604e4ef6e306c6d87e17e77e50a68aad0510110))
2150      - add MCUSYS support ([4cc1ff7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4cc1ff7ef2c3544ef1aabeb2973a2d8f7800776b))
2151      - add pinctrl support ([ec4cfb9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ec4cfb91fc197a024d1edb9fae5e9ce100e5b200))
2152      - add pmic and pwrap support ([e9310c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9310c34b018944a6c29a8f408f0a34b43a0df6d))
2153      - add reset and poweroff functions ([a72b9e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a72b9e7754a27e6ebccf79f0cc4fb7cc5a0a8a5e))
2154      - add RTC support ([af5d8e0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af5d8e07955ddef9000c64de94deb2703e6ffcf0))
2155      - add support for PTP3 ([44a1051](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44a10511c9e5a66b3a33abba44856a7a5dc5e655))
2156      - apply ERRATA for CA-78 ([abb995a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/abb995abbe45874a397351cbb134ae32d4cc545b))
2157      - enable MTK_PUBEVENT_ENABLE ([0b1186a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b1186a3e6fd6daffaef3f6cf59650bb9121191c))
2158      - initialize GIC ([cfb0516](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cfb0516f3cc36e3d0ec9b0bdabf1eb6ea2b275c1))
2159      - initialize platform for MediaTek MT8188 ([de310e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de310e1e5f0b76b9de2b93759344540e0109c8eb))
2160      - initialize systimer ([215869c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/215869c693c136192505a004ec368f503f146505))
2161
2162  - **NXP**
2163
2164    - **i.MX**
2165
2166      - **i.MX 8M**
2167
2168        - add dram retention flow for imx8m family ([c71793c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c71793c6476fa2828f866b8d7b272289f0d9a15c))
2169        - add support for high assurance boot ([720e7b6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/720e7b66f2353ef7ed32a8f85f8396fbc0766ffc))
2170        - add the anamix pll override setting ([66d399e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66d399e454b160ce358346cfa9142a24d8493a41))
2171        - add the ddr frequency change support for imx8m family ([9c336f6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9c336f6118a94970f4045641a971fd1e24dba462))
2172        - add the PU power domain support on imx8mm/mn ([44dea54](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44dea5444b087acd758b1c8370999be635e17e43))
2173        - keep pu domains in default state during boot stage ([9d3249d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d3249de8078e33b90193d8f91f4914acc36c6ec))
2174        - make psci common code pie compatible ([5d2d332](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d2d3328db88846accd179c96d71bab79a150937))
2175
2176        - **i.MX 8M Nano**
2177
2178          - add BL31 PIE support ([62d37a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/62d37a4362456694bdae6d8921c2c7572a0d99a4))
2179          - add hab and map required memory blocks ([b5f06d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b5f06d3dfad8c27bdf528b083ef919ce4022c52d))
2180          - enable dram retention suuport on imx8mn ([2003fa9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2003fa94dc9b9eda575ebfd686308c6f87c366f0))
2181
2182        - **i.MX 8M Mini**
2183
2184          - add BL31 PIE support ([a8e6a2c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a8e6a2c83ce511dad88eb68f98a3191fa93564d4))
2185          - add hab and map required memory blocks ([5941f37](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5941f37288a5ceac495cbdbd3e3d02f1a3c55e0a))
2186          - enable dram retention suuport on imx8mm ([b7abf48](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7abf485ee15c3e5b16522bb91dd6b0c24bfbfc0))
2187
2188        - **i.MX 8M Plus**
2189
2190          - add BL31 PIE support ([7a443fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7a443fefa4eaef65332a38c8189573b5b4b4a1e3))
2191          - add hab and map required memory blocks ([62a93aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/62a93aa7afcd022f06d322c36979f0aa02713beb))
2192
2193        - **i.MX 8Q**
2194
2195          - add 100us delay after USB OTG SRC bit 0 clear ([66345b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66345b8b13dc32bcd9f6af3c04f60532e7d82858))
2196
2197    - **Layerscape**
2198
2199      - **LS1043A**
2200
2201        - **LS1043ARDB**
2202
2203          - update ddr configure for ls1043ardb-pd ([18af644](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18af644279b36e841068db0e1c857dedf1456b38))
2204
2205  - **QEMU**
2206
2207    - increase size of bl31 ([0e6977e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e6977eee178a6436e4a7e1503ea854989316ff4))
2208
2209  - **QTI**
2210
2211    - fix to support cpu errata ([6cc743c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cc743cf0fa9b216f2af8ff87c716dcc0bb6f6a0))
2212    - updated soc version for sc7180 and sc7280 ([39fdd3d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/39fdd3d85d1165cd1b876288532000c5c6eb1ecb))
2213
2214  - **Socionext**
2215
2216    - **Synquacer**
2217
2218      - add BL2 support ([48ab390](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/48ab390444e1dabb669430ace9b8e5a80348eed0))
2219      - add FWU Multi Bank Update support ([a193825](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a19382521c583b3dde89df14678b011960097f6c))
2220      - add TBBR support ([19aaeea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/19aaeea00bc4fba94af7aca508af878136930f4a))
2221
2222  - **ST**
2223
2224    - add trace for early console ([00606df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/00606df01201fcad509ea9ddff89d5f176bee793))
2225    - enable MMC_FLAG_SD_CMD6 for SD-cards ([53d5b8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53d5b8ff50d322f764b1f5a8c882b9ee1ba952c9))
2226    - properly manage early console ([5223d88](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5223d88032dcecb880d620e63bfa70799dc6cc1a))
2227    - search pinctrl node by compatible ([b14d3e2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b14d3e22b4964ce589d107e7fd68601bf070f44c))
2228
2229    - **STM32MP1**
2230
2231      - add a check on TRUSTED_BOARD_BOOT with secure chip ([54007c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/54007c37d560dd170efa52a79feb206aefb90ed4))
2232      - add a stm32mp crypto library ([ad3e46a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad3e46a35cb208e16adfe3d753214739583dca10))
2233      - add define for external scratch buffer for nand devices ([9ee2510](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ee2510b62ef9428d767523ddb9c5a39b7a2b954))
2234      - add early console in SP_min ([14a0704](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14a070408d9231dc1c487dfe36058b93faf5915c))
2235      - add plat_report_*_abort functions ([0423868](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0423868373026a667f0c004e4d365fa12fd734ef))
2236      - add RNG initialization in BL2 for STM32MP13 ([2742374](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2742374414c5891ac37fd4d42ba62c3cff1474c6))
2237      - add the decryption support ([cd79116](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd791164a9ad2f42d25d24012715bbe763b41e1c))
2238      - add the platform specific build for tools ([461d631](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/461d631acae9daec77c9668216280cbf66240249))
2239      - add the TRUSTED_BOARD_BOOT support ([beb625f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/beb625f90bfd1858b9d413cae67457e57c79a118))
2240      - allow to override MTD base offset ([e0bbc19](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0bbc190d500e53ee0566af85639d3cdbbe7177d))
2241      - configure the serial boot load address ([4b2f23e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b2f23e55f27b6baccf3e858234e69685d51fcf4))
2242      - extend STM32MP_EMMC_BOOT support to FIP format ([95e4908](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95e4908e17fbb44aed1f8612fefdd6d21fef8f49))
2243      - manage second NAND OTP on STM32MP13 ([d3434dc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d3434dca0b3acb902fe3a6cf39065ba917f69b1c))
2244      - manage STM32MP13 rev.Y ([a3f97f6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a3f97f66c36e987a6617f1f39c3b9e64b763212c))
2245      - optionally use paged OP-TEE ([c4dbcb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c4dbcb885201c89a44df203661af007945782993))
2246      - remove unused function from boot API ([f30034a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f30034a298a8d7260464cbcf2d2306bff533d6dd))
2247      - retrieve FIP partition by type UUID ([1dab28f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1dab28f99dfa03dc11538056a90f00f37bfb1085))
2248      - save boot auth status and partition info ([ab2b325](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab2b325c1ab895e626d4e11a9f26b9e7c968f8d8))
2249      - update ROM code API for header v2 management ([89c0774](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/89c07747d0396b92c83af8736ff49ef8c09bc176))
2250
2251      - **STM32MP13**
2252
2253        - change BL33 memory mapping ([10f6dc7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10f6dc789350ed5915a474b2d411890261b741ae))
2254
2255      - **STM32MP15**
2256
2257        - manage OP-TEE shared memory ([722ca35](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/722ca35ecc1c5de8682ca8df315a6369d0c21946))
2258
2259  - **Texas Instruments**
2260
2261    - **K3**
2262
2263      - add support for J784S4 SoCs ([4a566b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a566b26ae6135d4c13deab9d3f1c40c1cb8960a))
2264
2265  - **Xilinx**
2266
2267    - **Versal**
2268
2269      - add infrastructure to handle multiple interrupts ([e497421](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e497421d7f1e13d15313d1ca71a8e91f370cce1e))
2270      - get the handoff params using IPI ([205c7ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/205c7ad4cd73e5c091b03f23a3a3be74da5c8aea))
2271      - resolve the misra 10.1 warnings ([b86e1aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b86e1aade1c0953bd60ae0b35f1c3571ee8bae3f))
2272      - update macro name to generic and move to common place ([f99306d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f99306d49ba074279c5402a0a34e6bc9797d77de))
2273
2274      - **Versal NET**
2275
2276        - add support for QEMU COSIM platform ([6a079ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a079efd909b459448f561618df24fa94038dbad))
2277        - add documentation for Versal NET SoC ([4efdc48](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4efdc488961502033262613b6f20abcee68bbf84))
2278        - add SMP support for Versal NET ([8529c76](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8529c7694f8d614e76dcc80b394ec8a6751df44c))
2279        - add support for IPI ([0bf622d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0bf622de68cd353a8406f76647b6afd8791d675d))
2280        - add support for platform management ([0654ab7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0654ab7f75449307c79789e12be7aab2338edcc3))
2281        - add support for Xilinx Versal NET platform ([1d333e6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d333e69091f0c71854a224e8cfec08695b7d1f3))
2282
2283    - **ZynqMP**
2284
2285      - optimization on pinctrl_functions ([314f9f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/314f9f7957fbab12dc8d073cf054b99520372e0e))
2286      - add support for ProvenCore ([358aa6b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/358aa6b21118ae4eedf816f663aa950b58f7fd4e))
2287      - add support for xck24 silicon ([86869f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/86869f99d0c144ed18fb947866554a4a56b67741))
2288      - protect eFuses from non-secure access ([d0b7286](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0b7286e48f0a34e7e9a8db3948caf1809193430))
2289      - resolve the misra 10.1 warnings ([bfd7c88](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bfd7c881905702082e3c2a56d5228ccf5fe98f11))
2290
2291- **Bootloader Images**
2292
2293  - add interface to query TF-A semantic ver ([dddf428](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dddf4283b043ad0a81d27bd5bb2f0c647c511e11))
2294
2295  - **BL32**
2296
2297    - **TSP**
2298
2299      - add FF-A support to the TSP ([4a8bfdb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a8bfdb90956ecec02ba5e189fe5452817a65179))
2300      - add ffa_helpers to enable more FF-A functionality ([e9b1f30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9b1f300a974a7e82190b95899c3128b73088488))
2301      - enable test cases for EL3 SPMC ([15ca1ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15ca1ee342a4dcd8a73a4ae158d245cd4266c832))
2302      - increase stack size for tsp ([5b7bd2a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b7bd2af0b2972dfffeaa674947c0082d6b5126b))
2303
2304- **Services**
2305
2306  - add a SPD for ProvenCore ([b0980e5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b0980e584398fc5adc908cd68f1a6deefa943d29))
2307
2308  - **RME**
2309
2310    - **RMMD**
2311
2312      - add support for RMM Boot interface ([8c980a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8c980a4a468aeabb9e49875fec395c625a0c2b2b))
2313      - add support to create a boot manifest ([1d0ca40](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d0ca40e9084903d21e570bb312646626aaf574b))
2314
2315  - **SPM**
2316
2317    - add tpm event log node to spmc manifest ([054f0fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/054f0fe1361ba0cb339fb0902470988a82a24cf7))
2318
2319    - **SPMD**
2320
2321      - avoid spoofing in FF-A direct request ([5519f07](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5519f07cd46a4139615a3e8f5e57d1834b23a6f8))
2322
2323  - **DRTM**
2324
2325    - add a few DRTM DMA protection APIs ([2b13a98](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b13a985994213f766ada197427f96e064f1b59b))
2326    - add DRTM parameters structure version check ([c503ded](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c503ded2c5d9ceec9fba4cc0901805307a14af3d))
2327    - add Event Log driver support for DRTM ([4081426](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40814266d53b7154daf5d212de481b397db43823))
2328    - add PCR entries for DRTM ([ff1e42e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff1e42e20aa247ba11cf81742abff07ece376ba8))
2329    - add platform functions for DRTM ([2a1cdee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a1cdee4f5e6fe0b90399e442075880acad1869e))
2330    - add remediation driver support in DRTM ([1436e37](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1436e37dcb894a539a22da48a34ef01566ae728b))
2331    - add standard DRTM service ([e62748e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e62748e3f1f16934f0ef2d5742f3ca0b125eaea2))
2332    - check drtm arguments during dynamic launch ([40e1fad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40e1fad69b9f28ab5e57cea33261bf629b05519c))
2333    - ensure that no SDEI event registered during dynamic launch ([b1392f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b1392f429cdd368ea2b8e183a1ac0fb31deaf694))
2334    - ensure that passed region lies within Non-Secure region of DRAM ([764aa95](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/764aa951b2ca451694c74791964a712d423d8206))
2335    - flush dcache before DLME launch ([67471e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67471e75b3cf48c361e71894a666bce4395bbb35))
2336    - introduce drtm dynamic launch function ([bd6cc0b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bd6cc0b2388c52f2b232427be61ff52c042d724a))
2337    - invalidate icache before DLME launch ([2c26597](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c265975a76977c6373636f5f28e114d1b73e10e))
2338    - prepare DLME data for DLME launch ([d42119c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d42119cc294fbca2afc263fe5e44538a0ca5e7b8))
2339    - prepare EL state during dynamic launch ([d1747e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d1747e1b8e617ad024456791ce0ab8950bb282ca))
2340    - retrieve DRTM features ([e9467af](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e9467afb2d483ccec8f816902624d848e8f21d86))
2341    - take DRTM components measurements before DLME launch ([2090e55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2090e55283c4bf85c7a61735ca0e872745c55896))
2342    - update drtm setup function ([d54792b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d54792bd93f76b943bf0559c8373b898e0e3b93c))
2343
2344- **Libraries**
2345
2346  - **CPU Support**
2347
2348    - add library support for Hunter ELP ([8c87bec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8c87becbc64f2e233ac905aa006d5e15a63a9a8b))
2349    - add a64fx cpu to tf-a ([74ec90e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/74ec90e69bbd0e932a61f5461eedc4abd1b99d44))
2350    - make cache ops conditional ([04c7303](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/04c7303b9c3d2215eebc3d59431519990abe03d0))
2351    - remove plat_can_cmo check for aarch32 ([92f8be8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/92f8be8fd1e77be67e9c9711afa8705204758304))
2352    - update doc and check for plat_can_cmo ([a2e0123](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2e0123484e62df8ed9f2943dbd158471bf31221))
2353
2354  - **OP-TEE**
2355
2356    - check paged_image_info ([c0a11cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0a11cd8698394e1d3d3d7c9cedb19846ba59223))
2357
2358  - **PSCI**
2359
2360    - add a helper function to ensure that non-boot PEs are offline ([ce14a12](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce14a12f8b8f02b7221f37c7c4b46f909c1a4346))
2361
2362  - **C Standard Library**
2363
2364    - introduce __maybe_unused ([351f9cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/351f9cd8897fd3ea52db2421721a152494b16328))
2365
2366  - **PSA**
2367
2368    - add delegated attestation partition API ([4b09ffe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b09ffef49663ebc8c8f5c3da19636208fe2fa06))
2369    - remove initial attestation partition API ([420deb5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/420deb5a0dbbd35962e5449f82434c703e7a1179))
2370
2371- **Drivers**
2372
2373  - **Authentication**
2374
2375    - allow to verify PublicKey with platform format PK ([40f9f64](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40f9f644e8af34e745dbaec73d7128c0a4902e54))
2376    - enable MBEDTLS_CHECK_RETURN_WARNING ([a4e485d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4e485d7bf1c428d64e90e9821e4b1a109d10626))
2377
2378    - **Crypto**
2379
2380      - update crypto module for DRTM support ([e43caf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e43caf3890817e91b3d35b5ae1149a208f1a4016))
2381
2382    - **mbedTLS**
2383
2384      - update mbedTLS driver for DRTM support ([8b65390](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8b653909b7e2371c6dcddbeac112b9671c886f34))
2385
2386  - **I/O**
2387
2388    - **MTD**
2389
2390      - add platform function to allow using external buffer ([f29c070](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f29c0702d2e7a67327b67766f91793d8ae6d0f73))
2391
2392  - **MMC**
2393
2394    - get boot partition size ([f462c12](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f462c1249ac41f43423011bb12ace38cbeb0af4c))
2395    - manage SD Switch Function for high speed mode ([e5b267b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e5b267bba14c55e7906d120c52d4e8e8bbb68df6))
2396
2397  - **MTD**
2398
2399    - add platform function to allow using external buffer ([f29c070](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f29c0702d2e7a67327b67766f91793d8ae6d0f73))
2400
2401  - **GUID Partition Tables Support**
2402
2403    - allow to find partition by type UUID ([564f5d4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/564f5d477663bc007916a11c48bdd8b9be4ad369))
2404
2405  - **SCMI**
2406
2407    - send powerdown request to online secondary cpus ([14a2892](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14a289230918b23b0985e215d38614dc7480bd02))
2408    - set warm reboot entry point ([5cf9cc1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5cf9cc130a90fd8c4503c57ec4af235b469fd473))
2409
2410  - **Arm**
2411
2412    - **Ethos-N**
2413
2414      - add support for SMMU streams ([b139f1c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b139f1cf975f9968eb8bd1182a173b976ecf06f9))
2415
2416    - **GIC**
2417
2418      - add APIs to raise NS and S-EL1 SGIs ([dcb31ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dcb31ff79096fc88b45df8068e5de83b93f833ed))
2419
2420      - **GICv3**
2421
2422        - validate multichip data for GIC-700 ([a78b3b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a78b3b382b07675a89a66ddffe926ed225eeb245))
2423
2424    - **RSS**
2425
2426      - add new comms protocols ([3125901](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31259019235aebf7aa533d5c893940f597fb1a8b))
2427
2428  - **ST**
2429
2430    - **Crypto**
2431
2432      - add AES decrypt/auth by SAES IP ([4bb4e83](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4bb4e836498b0131feefbba3f857a0bf3b89e543))
2433      - add ECDSA signature check with PKA ([b0fbc02](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b0fbc02aea76d31e749444da63b084e6b2bd089b))
2434      - add STM32 RNG driver ([af8dee2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af8dee20d5fee29f34ccd9b9556e0c23655ff549))
2435      - remove BL32 HASH driver usage ([6b5fc19](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b5fc19227ff8935b1352c0e4c0d716ebee60aa2))
2436      - update HASH for new hardware version used in STM32MP13 ([68039f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68039f2d14626adce09512871d6cde20ff45e1d9))
2437
2438    - **SDMMC2**
2439
2440      - define FIFO size ([b46f74d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b46f74d4e68ee08b6e912cd7f855a16cc5e79a6a))
2441      - make reset property optional ([8324b16](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8324b16cd5e0b1ae2f85264a74f879e8fb1bca2a))
2442      - manage CMD6 ([3deebd4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3deebd4ccf39904d7fe777f53e9dbaa86691d653))
2443
2444    - **UART**
2445
2446      - add initialization with the device tree ([d99998f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d99998f76ed2e8676be25e31e9479a90c16c7098))
2447      - manage STM32MP_RECONFIGURE_CONSOLE ([ea69dcd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea69dcdc737d8b48fec769042922914e988153ef))
2448
2449- **Miscellaneous**
2450
2451  - **Debug**
2452
2453    - add AARCH32 CP15 fault registers ([bb22891](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb2289142cbf0f3546c1034e0500b5dc32aef740))
2454    - add helpers for aborts on AARCH32 ([6dc5979](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6dc5979a6cb2121e4c16e7bd62e24030e0f42755))
2455
2456  - **FDTs**
2457
2458    - **STM32MP1**
2459
2460      - add CoT and fuse references for authentication ([928fa66](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/928fa66272a0985c900c996912b54904c64d0520))
2461      - change pin-controller to pinctrl ([44fea93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44fea93bf729f631f6ae47e06ac7b6012a795791))
2462
2463      - **STM32MP13**
2464
2465        - use STM32MP_DDR_S_SIZE in fw-config ([936f29f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/936f29f6b51b3c7f37fd34e30a7f1f7c3944b361))
2466
2467      - **STM32MP15**
2468
2469        - add Avenger96 board with STM32MP157A DHCOR SoM ([51e2230](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/51e223058fe70b311542178f1865514745fa7874))
2470        - add support for STM32MP157C based DHCOM SoM on PDK2 board ([eef485a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eef485abb13b6df9a94137edd82904aab0ecf02d))
2471
2472  - **SDEI**
2473
2474    - add a function to return total number of events registered ([e6381f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6381f9cf8c0c62c32d5a4765aaf166f50786914))
2475
2476  - **TBBR**
2477
2478    - increase PK_DER_LEN size ([1ef303f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ef303f9f79020330bbd8e48ac652e8f2121a41b))
2479
2480- **Tools**
2481
2482  - **Firmware Image Package Tool**
2483
2484    - add cca, core_swd, plat cert in FIP ([147f52f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/147f52f3e81f7ccf1dae90bc5687ec137feeb46c))
2485
2486  - **Certificate Creation Tool**
2487
2488    - define the cca chain of trust ([0a6bf81](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0a6bf811d7f873a180ef4b9f96f5596b26d270c6))
2489    - update for ECDSA brainpoolP256r/t1 support ([e78ba69](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e78ba69e3525c968118eb91f443b1e9db9eee5f5))
2490
2491- **Dependencies**
2492
2493  - **Compiler runtime libraries**
2494
2495    - update compiler-rt source files ([8a6a956](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a6a9560b5dcccfb68064c0c8c9b4b47981c6ac7))
2496
2497  - **libfdt**
2498
2499    - add function to set MAC addresses ([1aa7e30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1aa7e302a84bbf46a97bcfbb54b6b6d57de76cee))
2500    - upgrade libfdt source files ([94b2f94](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94b2f94bd63258c300b53ad421488c3c4455712b))
2501
2502  - **zlib**
2503
2504    - update zlib source files ([a194255](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a194255d75ed9e2ef56bd6e14349a3e7d86af934))
2505
2506### Resolved Issues
2507
2508- **Architecture**
2509
2510  - **Performance Monitors Extension (FEAT_PMUv3)**
2511
2512    - add sensible default for MDCR_EL2 ([7f85619](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f8561985778cbe5cdc7d57984c818119e87adaf))
2513
2514  - **Scalable Matrix Extension (FEAT_SME)**
2515
2516    - add missing ISBs ([46e92f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/46e92f2862326cbe57acecb2d0f3c2ffbcc176d2))
2517
2518- **Platforms**
2519
2520  - **Arm**
2521
2522    - **FVP**
2523
2524      - fdts: Fix idle-states entry method ([0e3d880](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e3d88070f69c6aa7cc51a2847cbba3535992397))
2525      - fdts: fix memtimer subframe addressing ([3fd12bb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3fd12bb8c622917d8491082b1472c39efb89c0cf))
2526      - fdts: unify and fix PSCI nodes ([6b2721c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b2721c01691743a65475e82944e2f8868bf0159))
2527
2528    - **FVP Versatile Express**
2529
2530      - fdts: Fix vexpress,config-bus subnode names ([60da130](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/60da130a8c5ac29bc35870180c35ca04db506e0f))
2531
2532    - **Morello**
2533
2534      - dts: add model names ([30df890](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/30df8904d0f6973bbce1ecb51f14c1e4725ddf0b))
2535      - dts: fix DP SMMU IRQ ordering ([fba729b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fba729b0ca22be379792ce677296cda075036753))
2536      - dts: fix DT node naming ([41c310b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/41c310b4f691c1eefcd0234619bc751966389297))
2537      - dts: fix GICv3 compatible string ([982f258](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/982f2585bb27b58c017af70d852a433f36711db1))
2538      - dts: fix SCMI shmem/mboxes grouping ([8aeb1fc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8aeb1fcf832d4e06157a1bed1d18ba244c1fe9ee))
2539      - dts: fix SMMU IRQ ordering ([5016ee4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5016ee44a740127f7865dc26ed0efbbff1481c7e))
2540      - dts: fix stdout-path target ([67a8a5c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67a8a5c92e7c65108b3cdf6f4f9dd2de7e22f3cd))
2541      - dts: remove #a-c and #s-c from memory node ([f33e113](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f33e113c7a7dffd8ed219f25191907fd64bcf19f))
2542      - dts: use documented DPU compatible string ([3169572](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3169572ed1bf0de17bb813583cab7ea295a8ec8d))
2543      - move BL31 to run from DRAM space ([05330a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/05330a49cd91c346a8b9dc3aff35d0032db4d413))
2544
2545    - **N1SDP**
2546
2547      - add numa node id for pcie controllers ([2974d2f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2974d2f2d03e842ed5e01e2e04dd3de6c1d07277))
2548      - mapping Run-time UART to IOFPGA UART0 ([4a81e91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a81e91f2752a817364e1fccedb08bb453ad5a56))
2549      - replace non-inclusive terms from dts file ([e6ffafb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6ffafbeeae8c78abac37475f19899f0c98523ca))
2550
2551    - **TC**
2552
2553      - resolve the static-checks errors ([066450a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/066450abf326f1a68a21cdddf29f62eff95041a9))
2554      - tc2 bl1 start address shifted by one page ([8597a8c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8597a8cbc23f0f03a15d013dd44a4ed59c991872))
2555
2556  - **Intel**
2557
2558    - fix asynchronous read response by copying data to input buffer ([dd7adcf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dd7adcf3a89a75973a88118eeb867d1c212c4ad0))
2559    - fix Mac verify update and finalize for return response data ([fbf7aef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fbf7aef408a9f67fabc712bbfd52438290364879))
2560
2561  - **MediaTek**
2562
2563    - remove unused cold_boot.[c|h] ([8cd3b69](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8cd3b693d6d5d3db2433a96c5f2905d92a387cc4))
2564    - switch console to runtime state before leaving BL31 ([fcf4dd9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fcf4dd9f794b28bbfff3ee7d66bac8d5e260f46a))
2565    - use uppercase for definition ([810d568](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/810d568141050db7d500c5f5ad91efaff93d2036))
2566    - wrap cold_boot.h with MTK_SIP_KERNEL_BOOT_ENABLE ([24476b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24476b2e6128dae2ca2ac46344e18f6f02eae7bf))
2567
2568    - **MT8186**
2569
2570      - fix SCP permission ([8a998b5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a998b5aca3ca895a7722e7496a7fd18cd838f94))
2571      - fix EMI_MPU domain setting for DSP ([28a8b73](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28a8b738feaade74f23af0e889005e687fde38b5))
2572      - fix the DRAM voltage after the system resumes ([600f168](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/600f168172a9281a0061f84e4da5318e08762aa1))
2573      - move SSPM base register definition to platform_def.h ([2a2b51d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2a2b51d8f76e2acdabb431e928beb90e0a30c87c))
2574
2575    - **MT8188**
2576
2577      - add mmap entry for CPU idle SRAM ([32071c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/32071c0263899e0e7a4b7f2c754e6363547f33b1))
2578      - refine c-state power domain for extensibility ([e35f4cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e35f4cbf80ba671c42644c1ac7f8f6541042c6e5))
2579      - refine gic init flow after system resume ([210ebbb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/210ebbb0a6a0520cb3a5930c4fefa94baee33462))
2580
2581  - **NXP**
2582
2583    - **i.MX**
2584
2585      - **i.MX 8M**
2586
2587        - correct serial output for HAB JR0 ([6e24d79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e24d795094e7fac1edc13336ce0bfd39d98e66f))
2588        - fix dram retention fsp_table access ([6c8f523](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c8f523138cd94bc0608708e821a09b02c8c2f5a))
2589        - move caam init after serial init ([901d74b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/901d74b2d46cbd8b1d27477fa16388520fdabab1))
2590        - update poweroff related SNVS_LPCR bits only ([ad6eb19](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad6eb1951b986f30635025bbdf29e257b6b1e362))
2591
2592        - **i.MX 8Q**
2593
2594          - correct architected counter frequency ([21189b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21189b8e21062b71c9056ac1cf60d25bb018007c))
2595
2596  - **QEMU**
2597
2598    - enable SVE and SME ([337ff4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/337ff4f1dd6604738d79fd3fa275ae74d74256b2))
2599
2600  - **QTI**
2601
2602    - adding secure rm flag ([b5959ab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b5959ab029fb0a8a271967b0bd7ef438d59061bd))
2603
2604  - **Raspberry Pi**
2605
2606    - **Raspberry Pi 3**
2607
2608      - tighten platform pwr_domain_pwr_down_wfi behaviour ([028c4e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/028c4e42d8f632d40081b88f66d0d05c7d7c9b23))
2609
2610  - **Renesas**
2611
2612    - **R-Car**
2613
2614      - **R-Car 3**
2615
2616        - fix RPC-IF device node name ([08ae247](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/08ae2471b1417f1d8083a79771338aa2a00b6711))
2617
2618  - **Rockchip**
2619
2620    - align fdt buffer on 8 bytes ([621acbd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/621acbd055d712ab8bf79054911155598fdb74d0))
2621
2622    - **RK3399**
2623
2624      - explicitly define the sys_sleep_flag_sram type ([7a5e90a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7a5e90a89d91d6662d3e468893e07c91b3a165ee))
2625
2626  - **Socionext**
2627
2628    - **Synquacer**
2629
2630      - increase size of BL33 ([a12a66d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a12a66d0d6d4732d41a27b1ecbc8874731c78101))
2631
2632  - **ST**
2633
2634    - add max size for FIP in eMMC boot part ([e7cb4a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e7cb4a86b884d2922984d3cd4651fb905650cfd6))
2635    - add missing string.h include ([0d33d38](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0d33d38334cae909a66c74187a36b5833afb8093))
2636
2637    - **STM32MP1**
2638
2639      - enable crash console in FIQ handler ([484e846](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/484e846a03a1af5f88e2e28835b6349cc5977935))
2640      - fdts: stm32mp1: align DDR regulators with new driver ([9eed71b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9eed71b7221c5fc7ed887f1087e42c9f1a62f581))
2641      - update the FIP load address for serial boot ([32f2ca0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/32f2ca04bfd2d93329f2f17d9c9d134f339710f9))
2642
2643      - **STM32MP13**
2644
2645        - correct USART addresses ([de1ab9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de1ab9fe052deba06a0904b10a6e0312ca49658e))
2646
2647  - **Xilinx**
2648
2649    - include missing header ([28ba140](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28ba1400216d7c7195929d1bd53f059a440a89a2))
2650    - miscellaneous fixes for xilinx platforms ([bfc514f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bfc514f10393fb7f4641ad5e75049f3acc246dd2))
2651    - remove unnecessary header include ([0ee2dc1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ee2dc118c34ceacc921fee196a4ba9102bdfbea))
2652    - update define for ZynqMP specific functions ([24b5b53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24b5b53a5922de40e53f0a7ecf65d3d0acc30a0d))
2653
2654    - **Versal**
2655
2656      - add SGI register call version check ([5897e13](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5897e135445e2bf3345297fbe9971a113506d714))
2657      - enable a72 erratum 859971 and 1319367 ([769446a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/769446a6899d840df8aa5746ec32bf7530fc9826))
2658      - fix code indentation issues ([72583f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72583f92e6cc1d691b709e05c3ae280dce016fef))
2659      - fix macro coding style issues ([80806aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/80806aa1234606bb55af40ae0667cdf4d44423be))
2660      - fix Misra-C violations in bl31_setup and pm_svc_main ([68ffcd1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68ffcd1bb22f2c2eac6c3329a1974b3e8ec6f515))
2661      - remove clock related macros ([47f8145](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/47f8145324181b86b6f460fb0c92144ef43e4e14))
2662      - resolve misra 10.1 warnings ([19f92c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/19f92c4cfe014c5495f3073917119385b0014eda))
2663      - resolve misra 15.6 warnings ([1117a16](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1117a16e0379986ea68581c02fb2fee40937452b))
2664      - resolve misra 8.13 warnings ([3d2ebe7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d2ebe756a50c27a00a03ae7f0109ed04681ac96))
2665      - resolve the misra 4.6 warnings ([f7c48d9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f7c48d9e30e9444f1fdb808ae5d06ed675e335fa))
2666      - resolve the misra 4.6 warnings ([912b7a6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/912b7a6fe46619e5df55dbd0b95d306f7bb2695c))
2667      - route GIC IPI interrupts during setup ([04cc91b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/04cc91b43c1d10fcba563e18f06336987e6e3a24))
2668      - use only one space for indentation ([dee5885](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dee588591328b96d9b9ef908869c8b42bd2632f2))
2669
2670      - **Versal NET**
2671
2672        - Enable a78 errata workarounds ([bcc6e4a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bcc6e4a02a88056b9c45ff28f405e09444433528))
2673        - add default values for silicon ([faa22d4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/faa22d48d9929d57975b84ab76cb595afdcf57f4))
2674        - use api_id directly without FUNCID_MASK ([b0eb6d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b0eb6d124b1764264778d17b1519bfe62b7b9337))
2675
2676    - **ZynqMP**
2677
2678      - fix coverity scan warnings ([1ac6af1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ac6af1199e2d14492a9d75aaba69bc775e55bd8))
2679      - ensure memory write finish with dsb() ([ac6c135](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ac6c135c83fe4efa4d6e9b9c06e899b57ce5647a))
2680      - fix for incorrect afi write mask value ([4264bd3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4264bd33e718023c62a2776e3ca40db88fce8b08))
2681      - move bl31 with DEBUG=1 back to OCM ([389594d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/389594dfa7e60a720d60f0d55296f91ba1610de5))
2682      - move debug bl31 based address back to OCM ([0ba3d7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ba3d7a4ca04486f45d062fab54238d9a554a682))
2683      - remove additional 0x in %p print ([05a6107](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/05a6107ff18b03f4ca33496268398133abf04aaa))
2684      - resolve misra 4.6 warnings ([cdb6211](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cdb62114cfcdaeb85e64bcde459342a0a95f58e3))
2685      - resolve misra 8.13 warnings ([8695ffc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8695ffcfcb3801ea287fae7652ba1c350636831f))
2686      - resolve MISRA-C:2012 R.10.1 warnings ([c889088](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c889088386432af69e3ca853825c4219884c1cc1))
2687      - resolve the misra 4.6 warnings ([15dc3e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15dc3e4f8d9730ce58cc599fb9970d486c8b9202))
2688      - resolve the misra 4.6 warnings ([ffa9103](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ffa910312c371080f4d0d50eb1354ad05b7be7a8))
2689      - resolve the misra 8.6 warnings ([7b1a6a0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7b1a6a08ccc7522687f66e6e989bbc597d08ab06))
2690
2691- **Bootloader Images**
2692
2693  - **BL31**
2694
2695    - allow use of EHF with S-EL2 SPMC ([7c2fe62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c2fe62f1347bb94d82e9fdd3bc5eaebedaf0bc7))
2696    - harden check in delegate_async_ea ([d435238](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d435238dc364f0c9f0e41661365f83d83899829d))
2697    - pass the EA bit to 'delegate_sync_ea' ([df56e9d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/df56e9d199939c571b3fd8f539d213fc36e14494))
2698
2699- **Services**
2700
2701  - **RME**
2702
2703    - refactor RME fid macros ([fb00dc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb00dc4a7b208cf416d082bb4367b54286bc8e3b))
2704    - relax RME compiler requirements ([7670ddb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7670ddb1fb5d4fa5e2e234375f7a4c0763f1c57a))
2705    - update FVP platform token ([364b4cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/364b4cddbab859a56e63813aab4e983433187191))
2706    - use RMM shared buffer for attest SMCs ([dc65ae4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dc65ae46439f4d1be06e3a016fe76319d7a62954))
2707    - xlat table setup fails for bl2 ([e516ba6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e516ba6de5e248e93156b5261cedbff811226e0e))
2708
2709    - **RMMD**
2710
2711      - return X4 output value ([8e51ccc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8e51cccaefc1e0e79ac2f0667ffec1cc46cf7665))
2712
2713  - **SPM**
2714
2715    - **EL3 SPMC**
2716
2717      - check descriptor size for overflow ([eed15e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eed15e4310a7bcd90bf6d66b00037e05186329bb))
2718      - compute full FF-A V1.1 desc size ([be075c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be075c3edf634a2df1065597266c3e41d284287b))
2719      - deadlock when relinquishing memory ([ac568b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ac568b2bccb9da71f2bd7f1c7204189d1ff678d9))
2720      - error handling in allocation ([cee8bb3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cee8bb3b38ea266a5008719548965352ec695cae))
2721      - fix detection of overlapping memory regions ([0dc3518](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0dc35186669ddaedb3a932e103c3976bc3bf75d6))
2722      - fix incomplete reclaim validation ([c4adbe6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c4adbe6e67617bb2d4f0ffb1c1daa3395f7ac227))
2723      - fix location of fragment length check ([21ed9ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21ed9ea32325fc556fa7e907e4995888bd3a3b45))
2724      - fix relinquish validation check ([b4c3621](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b4c3621e0dc8e7ec6d3229253e0326f12c8fe5a9))
2725
2726- **Libraries**
2727
2728  - **CPU Support**
2729
2730    - fix cpu version check for Neoverse N2, V1 ([03ebf40](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/03ebf409c711e9f2006cedded7dc415dfe566975))
2731    - workaround for Cortex-A510 erratum 2666669 ([afb5d06](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afb5d069a6fa049f18e90fa50e714b8a4acc55f4))
2732    - workaround for Cortex-A710 2216384 ([b781fcf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b781fcf139c3a609f1adffb8097a23eadbed53a9))
2733    - workaround for Cortex-A710 erratum 2291219 ([888eafa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/888eafa00b99aa06b4ff688407336811a7ff439a))
2734    - workaround for Cortex-A76 erratum 2743102 ([4927309](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49273098a5ccd87a2084a85f9e47d74fa3ecfc90))
2735    - workaround for Cortex-A77 erratum 2743100 ([4fdeaff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4fdeaffe860a998e8503b847ecceec60dcddcdc5))
2736    - workaround for Cortex-A78C erratum 2376749 ([5d3c1f5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d3c1f58905d3b7350e02c4687dceaf0971700b3))
2737    - workaround for Cortex-X3 erratum 2313909 ([7954412](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/79544126943a90d31d81177655be11f75330ffed))
2738    - workaround for Neoverse N1 erratum 2743102 ([8ce4050](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8ce40503ad00fe0dd35de6e51551da2b4f08a848))
2739    - workaround for Neoverse-N2 erratum 2326639 ([43438ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/43438ad1ad6651964e9ae75d35f40aed8d86d088))
2740    - workaround for Neoverse-N2 erratum 2388450 ([884d515](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/884d515625aa09b22245c32db2fcc9222c7f34fd))
2741    - workaround for Cortex A78C erratum 2242638 ([6979f47](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6979f47fecfd34ac1405117c23f2e36ecb552a20))
2742    - workaround for Cortex-A510 erratum 2347730 ([11d448c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/11d448c93463180d03b46e9ba204124ff7ad5116))
2743    - workaround for Cortex-A510 erratum 2371937 ([a67c1b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a67c1b1b2b521c888790c68e4201ecce0836a0e9))
2744    - workaround for Cortex-A710 erratum 2147715 ([3280e5e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3280e5e655ad64b6e299e18624d9c586e6b37cb1))
2745    - workaround for Cortex-A710 erratum 2371105 ([3220f05](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3220f05ef900addccb6e444d6746e4ed28c9804f))
2746    - workaround for Cortex-A77 erratum 2356587 ([7bf1a7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7bf1a7aaaa41034587e43d5805b42da83090b85b))
2747    - workaround for Cortex-A78C 2132064 ([8008bab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8008babd58f60c91a88ad79df3d32f63596b433a))
2748    - workaround for Cortex-A78C erratum 2395411 ([4b6f002](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b6f0026ea2622b3f46cdef5b468853ddd281b39))
2749    - workaround for Cortex-X2 erratum 2371105 ([bc0f84d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc0f84de40d4f1efddfb50071fff09d32f0ea9b2))
2750    - workaround for Neoverse-N2 erratum 2376738 ([e6602d4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6602d4b153b81b49b39c22e70f052f9018687b7))
2751    - workaround for Neoverse-V1 erratum 1618635 ([14a6fed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14a6fed5ac14035f578a75a9758f9df7ba4d7496))
2752    - workaround for Neoverse-V1 erratum 2294912 ([39eb5dd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/39eb5ddbbf98bdb6c012a9d852f489f2f8e15c05))
2753    - workaround for Neoverse-V1 erratum 2372203 ([57b73d5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/57b73d553305d89da7098f9b53b0a2356ca7ff8b))
2754
2755  - **EL3 Runtime**
2756
2757    - **RAS**
2758
2759      - restrict RAS support for NS world ([46cc41d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/46cc41d5592a16f702f7f0c0c41f8948a3e11cda))
2760      - trap "RAS error record" accesses only for NS ([00e8f79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/00e8f79c15d36f65f6c7f127177105e02177cbc0))
2761
2762  - **FCONF**
2763
2764    - fix type error displaying disable_auth ([381f465](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/381f465ca92f7c9759e85c1bfb4c95ceda26581e))
2765
2766  - **PSCI**
2767
2768    - fix MISRA failure - Memory - illegal accesses ([0551aac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0551aac5637a638d4b9d8865a2c20ec5153de3bf))
2769
2770  - **GPT**
2771
2772    - correct the GPC enable sequence ([14cddd7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14cddd7a58799c8a9d349a4adc0136c1ab5d0b6c))
2773
2774  - **C Standard Library**
2775
2776    - pri*ptr macros for aarch64 ([d307229](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d307229d754ae4d833ed50be50420aaf070065bf))
2777
2778  - **PSA**
2779
2780    - fix Null pointer dereference error ([c32ab75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c32ab75c41adfe28a60f1ff159012a7d78e72fdc))
2781    - update measured boot handle ([4d879e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d879e1e5a40cefae5b5e13086a16741bf3f6d67))
2782    - add missing semicolon ([d219ead](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d219ead1db5ca02ec7c7905ac01d7b268c5026ae))
2783    - align with original API in tf-m-extras ([471c989](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/471c9895a630560561717067113e4c4d7127bb9f))
2784    - extend measured boot logging ([901b0a3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/901b0a3015a652d9eb66c063b0984fade9adf08f))
2785
2786  - **Context Management**
2787
2788    - remove explicit ICC_SRE_EL2 register read ([2b28727](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b28727e6dafdaa08a517b5a97bda5de26cc8919))
2789
2790  - **Semihosting**
2791
2792    - fix seek call failure check ([7c49438](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c4943887477754024f0f736461d9543d502efcc))
2793
2794- **Drivers**
2795
2796  - **Authentication**
2797
2798    - correct sign-compare warning ([ed38366](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed38366f1dfeb0b0789fd69b400728598ae3c64e))
2799
2800  - **Measured Boot**
2801
2802    - add SP entries to event_log_metadata ([e637a5e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e637a5e19da72599229fd2c70e793c123aaf14ca))
2803    - clear the entire digest array of Startup Locality event ([70b1c02](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70b1c025003452602f68feb13402c705e44145aa))
2804    - fix verbosity level of RSS digests traces ([2abd317](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2abd317d27a26bbfa3da7fe3fe709da3fa0f09af))
2805
2806  - **MMC**
2807
2808    - remove broken, unsecure, unused eMMC RPMB handling ([86b015e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/86b015eb1be57439c2a01cb35d800c7f1b5c8467))
2809    - resolve the build error ([ccf8392](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ccf8392ccb105638fe710901d3c7ed6594d9450e))
2810
2811  - **SCMI**
2812
2813    - base: fix protocol list querying ([cad90b5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cad90b569db7c547470cca922bd93207adcadfad))
2814    - base: fix protocol list response size ([d323f0c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d323f0cf000f1d999bf78d89c0037af76b6bf8d8))
2815
2816  - **UFS**
2817
2818    - add retries to ufs_read_capacity ([28645eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28645ebd706fe6ac9f34db9f7be5657fe4cffc1a))
2819    - fix slot base address computation ([7d9648d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d9648dd6cf3b1dcd90b6917d9d0b545b1c4c975))
2820    - init utrlba/utrlbau with desc_base ([9d6d1a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d6d1a94c99c3a0e89792c5cc118a1d8c8a9dbb7))
2821    - point utrlbau to header instead of upiu ([9d3f6c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d3f6c4b6068b3a4747f5d1dc650607876eff583))
2822    - removes dp and run-stop polling loops ([660c208](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/660c208d9bd2770f295005fc26a9b6f788567f41))
2823    - retry commands on unit attention ([3d30955](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d309556c75bcdb59fd4e4178fa2b79aa472dc90))
2824
2825  - **Arm**
2826
2827    - **GIC**
2828
2829      - **GICv3**
2830
2831        - fix overflow caused by left shift ([6aea762](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6aea7624a01cc39c19d4237c4b108659270a61c5))
2832        - update the affinity mask to 8 bit ([e689048](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e689048e20af70983e0d384301c408fc725cb5eb))
2833
2834        - **GIC-600**
2835
2836          - implement workaround to forward highest priority interrupt ([e1b15b0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e1b15b09a530f2a0b0edc4384e977452d6b389eb))
2837
2838    - **RSS**
2839
2840      - clear the message buffer ([e3a6fb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e3a6fb84f523e68d2f1398348d1ae2635f3e57bc))
2841      - determine the size of sw_type in RSS mboot metadata ([2c8f2a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c8f2a9ad45023354516d419dc9fda2a4f02812b))
2842      - fix build issues with comms protocol ([ab545ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab545efddcdbf5d08ad3b1e8f4ea15a0faf168a7))
2843      - reduce input validation for measured boot ([13a129e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/13a129e8dcea358033f3c83b2d81b25129e02d43))
2844      - remove dependency on attestation header ([6aa7154](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6aa71542f35047ea0b537e3a6016de6c579c9d6b))
2845      - rename AP-RSS message size macro ([70247dd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70247ddbbd0a55a1ddf1d02f2a35b5cad3949dd1))
2846
2847  - **NXP**
2848
2849    - **DDR**
2850
2851      - fix firmware buffer re-mapping issue ([742c23a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/742c23aab79a21803472c5b4314b43057f1d3e84))
2852
2853  - **ST**
2854
2855    - **Clock**
2856
2857      - correct MISRA C2012 15.6 ([56f895e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/56f895ede3a2a4a97c0e4f8270050aff20a167bc))
2858      - correctly check ready bit ([3b06a53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b06a53044e754979cb0608fd93a137a5879a6a0))
2859
2860- **Miscellaneous**
2861
2862  - **AArch64**
2863
2864    - make AArch64 FGT feature detection more robust ([c687776](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c6877763cd3a286983df160c8207368174c1b820))
2865
2866  - **Debug**
2867
2868    - backtrace stack unwind misses lr adjustment ([a149eb4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a149eb4d87453f58418ad32c570090739a3e0dd6))
2869    - decouple "get_el_str()" from backtrace ([0ae4a3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ae4a3a3f0cd841b83f2944dde9837ea67f08813))
2870
2871  - **FDTs**
2872
2873    - **STM32MP1**
2874
2875      - **STM32MP13**
2876
2877        - align sdmmc pins with kernel ([c7ac7d6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c7ac7d65a7d1ee1b656bf1260ede6b8e2226bbac))
2878        - cleanup DT files ([4c07deb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4c07deb53e0e7daafc93bc67fdcbb3de7b73d730))
2879        - correct PLL nodes name ([93ed4f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93ed4f0801f5b3571abdd7e039d09d508c987063))
2880        - remove secure status ([8ef8e0e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8ef8e0e30e301e6b2595d571f004ae86b1a1ce06))
2881        - update SDMMC max frequency ([c9a4cb5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c9a4cb552cdd168fcab2c0383b8fbe30dc99092f))
2882
2883  - **Security**
2884
2885    - optimisations for CVE-2022-23960 ([e74d658](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e74d658181e5e69b6b5e16b40adc1ffef4c1efb9))
2886
2887- **Documentation**
2888
2889  - document missing RMM-EL3 runtime services ([e50fedb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e50fedbc869341d044d4cb3479a0ab3d4edaf225))
2890  - add LTS maintainers ([ab0d4d9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab0d4d9d44fe54535a0ae647092a3cfff368f126))
2891  - update maintainers list ([f23ce63](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f23ce639050481cda939b9e4738ed01d46481ee3))
2892
2893  - **Changelog**
2894
2895    - fix the broken link to commitlintrc.js ([c1284a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c1284a7f93309c88fd781d2b4720f742e147284e))
2896
2897- **Build System**
2898
2899  - disable default PIE when linking ([7b59241](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7b5924184566bcdcc01966905ffdcabcd6ea4b32))
2900  - discard sections also with SEPARATE_NOBITS_REGION ([64207f8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/64207f858f5cbf44aa6528be19a863acc4444568))
2901  - ensure that the correct rule is called for tools ([598b166](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/598b166bbc2f09fc219d44ecff0c870854bfa093))
2902  - fix arch32 build issue for clang ([94eb127](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/94eb127719881f39c7f235c887fb2c0b82341696))
2903  - make TF-A use provided OpenSSL binary ([e95abc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e95abc4c01822ef43e9e874d63d6596dc0b57279))
2904
2905- **Tools**
2906
2907  - **Secure Partition Tool**
2908
2909    - fix concurrency issue for SP packages ([0aaa382](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0aaa382fe2395c82c9491b199b6b82819afd368f))
2910    - operators "is/is not" in sp_mk_gen.py ([1a28f29](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a28f290b8224eb1d78a2476faaedc5154f82208))
2911    - 'sp_mk_generator.py' reference to undef var ([0be2475](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0be2475f6990a37d2d54b7ed06bac9cb46f4660d))
2912
2913- **Dependencies**
2914
2915  - add missing aeabi_memcpy.S ([93cec69](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93cec697deb654303379cae8f25a31dc8b90cd31))
2916
2917## [2.7.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.6..refs/tags/v2.7.0) (2022-05-20)
2918
2919### New Features
2920
2921- **Architecture**
2922
2923  - **Statistical profiling Extension (FEAT_SPE)**
2924
2925    - add support for FEAT_SPEv1p2 ([f20eb89](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f20eb893a072bb9b404eedb886e8c65fe76ffb45))
2926
2927  - **Branch Record Buffer Extension (FEAT_BRBE)**
2928
2929    - add BRBE support for NS world ([744ad97](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/744ad97445ce7aa65adaef376d0b5bafc12a90d3))
2930
2931  - **Extended Cache Index (FEAT_CCIDX)**
2932
2933    - update the do_dcsw_op function to support FEAT_CCIDX ([d0ec1cc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0ec1cc437c59e64ecba44710dbce82a04ff892d))
2934
2935- **Platforms**
2936
2937  - add SZ_* macros ([1af59c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1af59c457010e6e3e6536752736eb02115bca543))
2938
2939  - **Allwinner**
2940
2941    - add SMCCC SOCID support ([436cd75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/436cd754f2b0f9c0ce3094961bd1e179eeff2fc1))
2942    - allow to skip PMIC regulator setup ([67412e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67412e4d7ae3defaac78ef5e351c63e06cfd907a))
2943    - apx803: add aldo1 regulator ([a29f6e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a29f6e76cbf76d509c00f84f068b59864d210dfd))
2944    - choose PSCI states to avoid translation ([159c36f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/159c36fd2fc5afbe979e5028b9e845ed4b7a40f1))
2945    - provide CPU idle states to the rich OS ([e2b1877](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e2b18771fc2a0528dda18dbdaac08dd8530df25a))
2946    - simplify CPU_SUSPEND power state encoding ([52466ec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52466ec38ef312da62ad062720a03a183329f831))
2947
2948  - **Arm**
2949
2950    - **FVP**
2951
2952      - measure critical data ([cf21064](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf21064ec8a1889f64de48e30e38285227d27745))
2953      - update HW_CONFIG DT loading mechanism ([39f0b86](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/39f0b86a76534d0b7c71dd0c8b34f1a74480386b))
2954      - enable RSS backend based measured boot ([c44e50b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c44e50b72567205650c6455f3a258f36af0c84dd))
2955
2956    - **Morello**
2957
2958      - add changes to enable TBBR boot ([4af5397](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4af53977533bee7b5763d3efad1448545c2ebef7))
2959      - add DTS for Morello SoC platform ([572c8ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/572c8ce255397f7cff9640676e510817a8e4c6a3))
2960      - add support for nt_fw_config ([6ad6465](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ad6465e5ce452688cac079f16d26f64e9f4ce3c))
2961      - add TARGET_PLATFORM flag ([8840711](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8840711f33131969ec6b62ca3da079cf0573ac8b))
2962      - configure DMC-Bing mode ([9b8c431](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b8c431e2b2d656da7f8c4158e3d32e104446fec))
2963      - expose scmi protocols in fdts ([87639aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/87639aab0b6a30d4f49d069c0ea06900b11072a6))
2964      - split platform_info sds struct ([4a7a9da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a7a9dafbc953089957a0cc1a7183731a5b003e1))
2965      - zero out the DDR memory space ([2d39b39](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d39b39704c1e4f2a189543ac4ff05ae58e5f5c8))
2966
2967    - **N1SDP**
2968
2969      - add support for nt_fw_config ([cf85030](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf85030efe73439e06295f8185b0a6bebf7b5eae))
2970      - enable trusted board boot on n1sdp ([fe2b37f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fe2b37f6858168a56c3d393bc72f560468d02165))
2971
2972    - **RD**
2973
2974      - **RD-N2**
2975
2976        - add board support for rdn2cfg2 variant ([efeb438](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/efeb43808d2e3ed23e1d51d5e86460db92971e96))
2977        - add support for rdedmunds variant ([ef515f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef515f0d3466a8beded4fd662718abbd97391b13))
2978
2979    - **SGI**
2980
2981      - add page table translation entry for secure uart ([33d10ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33d10ac8bf134519f303fd7ce5fb5d583be2f515))
2982      - deviate from arm css common uart related definitions ([f2cccca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f2ccccaa81ec14a80fedb48c37226e5d852ada7a))
2983      - enable fpregs context save and restore ([18fa43f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18fa43f753b79cfc3cc5426a3ef50b04efbf6206))
2984      - route TF-A logs via secure uart ([987e2b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/987e2b7c20eb4ab4215ff5289b715300f5cec054))
2985
2986    - **TC**
2987
2988      - add reserved memory region for Gralloc ([ad60a42](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad60a42cd79713984065dca8540c091c49755f32))
2989      - enable CI-700 PMU for profiling ([fbfc598](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fbfc59840f9cd0ea53921c7f6fb9f4850a3b42ee))
2990      - enable GPU ([82117bb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/82117bb48180175c25936b0ff9e33563e25e18f4))
2991      - enable SMMU for DPU ([4a6ebee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a6ebeeca37ece34a58982c8b6ebdc8cfd70814b))
2992      - enable tracing ([59da207](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/59da207e2f2f028c9051c89bc5a05e95d996c18c))
2993
2994    - **Corstone-1000**
2995
2996      - identify bank to load fip ([cf89fd5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf89fd57ed3286d7842eef41cd72a3977eb6d317))
2997      - implement platform specific psci reset ([a599c80](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a599c80d063975cbeedbc86cfb619fca8545c487))
2998      - made changes to accommodate 3MB for optee ([854d1c1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/854d1c103a9b73bbde7ef1b89b06b29e3cc053bb))
2999
3000  - **Intel**
3001
3002    - add macro to switch between different UART PORT ([447e699](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/447e699f70f1a1d1b85a8136b445eba689166c5d))
3003    - add RSU 'Max Retry' SiP SMC services ([4c26957](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4c26957be253a7ab3acb316f42bf3ee10c409ed2))
3004    - add SiP service for DCMF status ([984e236](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/984e236e0dee46708534a23c637271a931ceb67e))
3005    - add SMC for enquiring firmware version ([c34b2a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c34b2a7a1a38dba88b6b668a81bd07c757525830))
3006    - add SMC support for Get USERCODE ([93a5b97](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93a5b97ec9e97207769db18ae34886e6b8bf2ea4))
3007    - add SMC support for HWMON voltage and temp sensor ([52cf9c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52cf9c2cd4882534d02e8996e4ff1143ee59290e))
3008    - add SMC support for ROM Patch SHA384 mailbox ([77902fc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77902fca8fe7449473b09198e1fe197f7b4765d7))
3009    - add SMC/PSCI services for DCMF version support ([44eb782](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44eb782e15c9af532f2455b37bd53ca93830f6e2))
3010    - add SMPLSEL and DRVSEL setup for Stratix 10 MMC ([bb0fcc7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb0fcc7e011ec4319a79734ba44353015860e39f))
3011    - add support for F2S and S2F bridge SMC with mask to enable, disable and reset bridge ([11f4f03](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/11f4f03043ef05762f4d6337804c39dc8f9af54f))
3012    - allow to access all register addresses if DEBUG=1 ([7e954df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e954dfc2ba83262f7596dd0f17de75163e49e5e))
3013    - create source file for firewall configuration ([afa0b1a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afa0b1a82a404c616da2da8f52cdcd587938955f))
3014    - enable firewall for OCRAM in BL31 ([ae19fef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ae19fef33707700a91b0b672aa784e084a6ca500))
3015    - enable SMC SoC FPGA bridges enable/disable ([b7f3044](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7f3044e8725d9af997999547630892cf9e2f0ad))
3016    - extend attestation service to Agilex family ([581182c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/581182c1916df03860744d8e32941c72b2cc3fda))
3017    - implement timer init divider via cpu frequency. ([#1](https://review.trustedfirmware.org:29418/TF-A/trusted-firmware-a/issues/1)) ([f65bdf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f65bdf3a54eed8f7651761c25bf6cc7437f4474b))
3018    - initial commit for attestation service ([d174083](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d17408316db10db611e23716e8a5b9b9f53ad509))
3019    - single certificate feature enablement ([7facace](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7facacec6328e505b243a4974d045d45fe068afd))
3020    - support AES Crypt Service ([6726390](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6726390eb02e9659cfaf2d3598be9bf12fbc5901))
3021    - support crypto service key operation ([342a061](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/342a0618c7ff89327ac5b34dc0713509ffae609b))
3022    - support crypto service session ([6dc00c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6dc00c24ab0100a2aae0f416c72470f8ed17e149))
3023    - support ECDH request ([4944686](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49446866a515c2db855d456f39df3d586b2084b7))
3024    - support ECDSA Get Public Key ([d2fee94](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d2fee94afa6ba7e76508e6bead7eb2936c5eafb8))
3025    - support ECDSA HASH Signing ([6925410](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/692541051b8cb0f435ae46c5d7351231ee292319))
3026    - support ECDSA HASH Verification ([7e25eb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e25eb87016ba8355cf0a3a5f71fb8b8785de044))
3027    - support ECDSA SHA-2 Data Signature Verification ([5830506](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/583050607e43cef8b544a5700386a019e54c422f))
3028    - support ECDSA SHA-2 Data Signing ([07912da](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07912da1b7663451493fb5e40e4c33deeb18a639))
3029    - support extended random number generation ([24f9dc8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24f9dc8a43fea350416ca9312a78ab4e786da8ad))
3030    - support HMAC SHA-2 MAC verify request ([c05ea29](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c05ea2969070be90a7dbb2d0344c66d89401edf6))
3031    - support session based SDOS encrypt and decrypt ([537ff05](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/537ff052579862a4865d36d06940feaa796d16da))
3032    - support SHA-2 hash digest generation on a blob ([7e8249a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e8249a2dbacfa751990c47644f0403311c6e260))
3033    - support SiP SVC version ([f0c40b8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0c40b897f8a25bc50c53239dcf750dd395ebabf))
3034    - support version 2 SiP SVC SMC function ID for mailbox commands ([c436707](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c436707bc6eed31ab61408ef40db6063d05f0912))
3035    - support version 2 SiP SVC SMC function ID for non-mailbox commands ([ad47f14](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad47f1422f3f9aa4a622e08b71fc8f5caab98a98))
3036    - update to support maximum response data size ([b703fac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b703facaaae1e3fe5afa4742b436bb07e065b5e9))
3037
3038  - **Marvell**
3039
3040    - **Armada**
3041
3042      - **A3K**
3043
3044        - add north and south bridge reset registers ([a4d35ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4d35ff381c625d61bcc22f9f9a1a45d8663b19d))
3045
3046  - **MediaTek**
3047
3048    - introduce mtk makefile ([500d40d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/500d40d877617653d347fb6308144973d4297ab9))
3049
3050    - **MT8195**
3051
3052      - apply erratas of CA78 for MT8195 ([c21a736](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c21a736d6f3fa9fb0647bff404b0174ebf1acd91))
3053      - add EMI MPU surppot for SCP and DSP ([690cb12](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/690cb1265ea84851bd6405a0a6a57d2f1c9f03a3))
3054      - dump EMI MPU configurations ([20ef588](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/20ef588e86ad8f3cf13382c164463046db261feb))
3055      - improve SPM wakeup log ([ab45305](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab45305062f50f81e5c3f800ef4c6cef5097cb04))
3056
3057    - **MT8186**
3058
3059      - add DFD control in SiP service ([e46e9df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e46e9df0d0e05f2aaee613fc4f697fcc8d79c0b3))
3060      - add SPM suspend driver ([7ac6a76](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7ac6a76c47d429778723aa804b64c48220a10f11))
3061      - add Vcore DVFS driver ([635e6b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/635e6b108e773daf37c00f46e6fbb1cae4e78f96))
3062      - disable 26MHz clock while suspending ([9457cec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9457cec8c02f78ba56fd9298dd795766c89281a2))
3063      - initialize platform for MediaTek MT8186 ([27132f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/27132f13ca871dc3cf1aa6938995284cf5016e00))
3064      - add power-off function for PSCI ([a68346a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a68346a772859ee6971ec14c6473d2a853e9c66f))
3065      - add CPU hotplug ([1da57e5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1da57e54b2270b3b49710afa6fd947b01d61b261))
3066      - add DCM driver ([95ea87f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95ea87ffc2445c77f070e6a2f78ffa424810faed))
3067      - add EMI MPU basic driver ([1b17e34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b17e34c5d7740a357b2027d88aef7760b346616))
3068      - add MCDI drivers ([06cb65e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/06cb65ef079941d0525dca75dd0e110e9330906d))
3069      - add pinctrl support ([af5a0c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af5a0c40aff21c4b8771365f19dcb01d6086b30d))
3070      - add pwrap and pmic driver ([5bc88ec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5bc88ec61c75ed42b41d84817aa4d6ee68a2efc8))
3071      - add reboot function for PSCI ([24dd5a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24dd5a7b71544c503446e58cb23c0cfd09245a3c))
3072      - add RTC drivers ([6e5d76b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e5d76bac8786120d037953f5a6fd67aaff035c1))
3073      - add SiP service ([5aab27d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5aab27dc4294110a6c0b69bf5ec5343e7df883a7))
3074      - add sys_cirq support ([109b91e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/109b91e38c8d4f73941c8574759560a1f1636d05))
3075      - apply erratas for MT8186 ([572f8ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/572f8adbb062c36835fbb82944dd2ed772134bfd))
3076      - initialize delay_timer ([d73e15e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d73e15e66a33398c8fc51c83f975a3f35494faf5))
3077      - initialize GIC ([206f125](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/206f125cc177bc110eb87d40ffc7fa18b28c01ce))
3078      - initialize systimer ([a6a0af5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a6a0af57c3369dfc6fc2f25877d812a24e9be311))
3079
3080  - **NXP**
3081
3082    - add SoC erratum a008850 ([3d14a30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d14a30b88762e901e134acc89c6ac4fa9e3f321))
3083    - add ifc nor and nand as io devices ([b759727](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b759727f5936a687314168dd8912d30897a8c6be))
3084    - add RCPM2 registers definition ([d374060](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d374060abe9b63296f63f1e3c811aeeddb7a093c))
3085    - add CORTEX A53 helper functions ([3ccc8ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3ccc8ac3e5da48819a2fc90ec48a175515de38cb))
3086
3087    - **i.MX**
3088
3089      - **i.MX 8M**
3090
3091        - add a simple csu driver for imx8m family ([71c40d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/71c40d3bb7c90a6c36d5c49d0830ca95aba65a2f))
3092        - add imx csu/rdc enum type defines for imx8m ([0c6dfc4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c6dfc47847608b6ade0c00716e93afc6725362c))
3093        - enable conditional build for SDEI ([d2a339d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d2a339dfa1665edf87a30a4318af954e764c205c))
3094        - enable the coram_s tz by default on imx8mn/mp ([d5ede92](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5ede92d78c829d8a3adad0759219b79e0dc0707))
3095        - enable the csu init on imx8m ([0a76495](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0a76495bc2cb0c5291027020a3cd2d3adf31c8ed))
3096        - do not release JR0 to NS if HAB is using it ([77850c9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77850c96f23bcdc76ecb0ecd27a982c00fde5d9d))
3097        - switch to xlat_tables_v2 ([4f8d5b0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4f8d5b018efc42d1ffa76fca8efb0d16a57f5edd))
3098
3099        - **i.MX 8M Mini**
3100
3101          - enable optee fdt overlay support ([9d0eed1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d0eed111cb1294605b6d82291fef16a51d35e46))
3102          - enable Trusty OS on imx8mm ([ff3acfe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff3acfe3cc1658917376152913a9d1b5b9b8de34))
3103          - add support for measured boot ([cb2c4f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cb2c4f93c18b948fbfde9d50ab7d30362be0e00a))
3104
3105        - **i.MX 8M Plus**
3106
3107          - add trusty for imx8mp ([8b9c21b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8b9c21b480dd5c3265be1105a9462b3f5657a6b1))
3108          - enable BL32 fdt overlay support on imx8mp ([aeff146](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aeff14640a91f6d33bfdbc0dc7b0e920f6d14b91))
3109
3110        - **i.MX 8M Nano**
3111
3112          - enable optee fdt overlay support ([2612891](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/26128912884b26fab67bce9d87ba0e1c85a0be1e))
3113          - enable Trusty OS for imx8mn ([99349c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99349c8ecba910dabbaa72b9be91f3ed762036f5))
3114
3115        - **i.MX 8M Q**
3116
3117          - enable optee fdt overlay support ([023750c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/023750c6a898e77c185839f5e56f8e23538f718a))
3118          - enable trusty for imx8mq ([a18e393](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a18e393339e1d481f4fdf0d621fe4f39ce93a4fe))
3119
3120    - **Layerscape**
3121
3122      - add CHASSIS 3 support for tbbr ([9550ce9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9550ce9ddd7729a961f51ed61ea4b2030e284dcb))
3123      - add new soc errata a009660 support ([785ee93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/785ee93cc3bd9b43d88fee5acefbd131bf6f2756))
3124      - add new soc errata a010539 support ([85bd092](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85bd0929433875e0b84fdc2046d9ec2cf0164903))
3125      - add soc helper macro definition for chassis 3 ([602cf53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/602cf53b6f507cea88f4af5c07bed9325bc7a9b8))
3126      - define more chassis 3 hardware address ([0d396d6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0d396d6455a659c4e679f02fae1f9043713474b0))
3127      - print DDR errata information ([3412716](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3412716b30260958b30d1fa2e1c6d8cce195cd7d))
3128
3129      - **LS1043A**
3130
3131        - add ls1043a soc support ([3b0de91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b0de9182501fae9de372efd1faaf35a7bf74f68))
3132
3133        - **LS1043ARDB**
3134
3135          - add ls1043ardb board support ([e4bd65f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e4bd65fed8a12d06181c1343cf786ac91badb6b0)
3136
3137      - **LX2**
3138
3139        - enable DDR erratas for lx2 platforms ([cd960f5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd960f5009ee062bba9c479505caee6bbe644649))
3140
3141      - **LS1046A**
3142
3143        - add new SoC platform ls1046a ([cc70859](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc708597fa72094c5a01df60e6538e4a7429c2a0))
3144
3145        - **LS1046ARDB**
3146
3147          - add ls1046ardb board support ([bb52f75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb52f7560b62043ed08a753f399dc80e8c1582d3))
3148
3149        - **LS1046AFRWY**
3150
3151          - add ls1046afrwy board support ([b51dc56](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b51dc56ab9ea79e4709f0d0ce965525d0d3da918))
3152
3153        - **LS1046AQDS**
3154
3155          - add board ls1046aqds support ([16662dc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/16662dc40dd2578d3000528ece090ed39ed18b9c))
3156
3157      - **LS1088A**
3158
3159        - add new SoC platform ls1088a ([9df5ba0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9df5ba05b4fe4cd44157363a897b73553ba6e2f1))
3160
3161        - **LS1088ARDB**
3162
3163          - add ls1088ardb board support ([2771dd0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2771dd0293b6cda6811e8bed95f2354a3ee0124e))
3164
3165        - **LS1088AQDS**
3166
3167          - add ls1088aqds board support ([0b0e676](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b0e67669814139c6818e61e03d0d0e3314fdc99))
3168
3169  - **QEMU**
3170
3171    - add SPMD support with SPMC at S-EL1 ([f58237c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f58237ccd9fd2350730d60ab7de59b5c376bfb35))
3172    - add support for measured boot ([5e69026](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5e690269d579d9461be3c5f5e3f59d4c666863a0))
3173
3174  - **QTI**
3175
3176    - **MSM8916**
3177
3178      - allow booting secondary CPU cores ([a758c0b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a758c0b65c6730fb07846899d6436ba257484d34))
3179      - initial platform port ([dddba19](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dddba19a6a3cb7a1039beaffc3169c4eb3291afd))
3180      - setup hardware for non-secure world ([af64473](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af6447315c8534331513ca6b6556af661e0ba88b))
3181
3182  - **Renesas**
3183
3184    - **R-Car**
3185
3186      - **R-Car 3**
3187
3188        - modify sequence for update value for WUPMSKCA57/53 ([d9912cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d9912cf3d1022fc6d38a6059290040985de56e63))
3189        - modify type for Internal function argument ([ffb725b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ffb725be98ffd010c851629a6da75bf57f770c7f))
3190        - update IPL and Secure Monitor Rev.3.0.3 ([14d9727](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14d9727e334300b3f5f57e76a9f6e21431e6c6b5))
3191
3192  - **ST**
3193
3194    - add a function to configure console ([53612f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53612f72938f37244a5f10ae7c57abe7358c221f))
3195    - add STM32CubeProgrammer support on UART ([fb3e798](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb3e7985c9b657c535c02b722ecc413f643e671e))
3196    - add STM32MP_UART_PROGRAMMER target ([9083fa1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9083fa11ead67272b94329e8f84257de6658620d))
3197    - add early console in BL2 ([c768b2b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c768b2b22f4fb16cf8be8b4815a1984b29918c20))
3198    - disable authentication based on part_number ([49abdfd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49abdfd8cececb91a4bc7e7b29a30c09dce461c7))
3199    - get pin_count from the gpio-ranges property ([d0f2cf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0f2cf3b148df75d5cbbd42dfa18012043e5d1f4))
3200    - map 2MB for ROM code ([1697ad8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1697ad8cc81307972d31cec3b27d58f589eeeb3f))
3201    - protect UART during platform init ([acf28c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/acf28c267b3679a0770b2010f2ec3fb3c2d19975))
3202    - update stm32image tool for header v2 ([2d8886a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d8886aceed613b9be25f20900914cacc8bb0fb9))
3203    - update the security based on new compatible ([812daf9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/812daf916c9c977a4f6d7d745d22b90c8492fc71))
3204    - use newly introduced clock framework ([33667d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33667d299bd5398ca549f542345e0f321b483d17))
3205
3206    - **ST32MP1**
3207
3208      - adaptations for STM32MP13 image header ([a530874](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a5308745ee3ab3b77ca942052e60968bcc01340d))
3209      - add "Boot mode" management for STM32MP13 ([296ac80](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/296ac8012b77ea84079b38cc60ee786a5f91857f))
3210      - add a second fixed regulator ([225ce48](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/225ce4822ccf2e7c7c1fca6cf3918d4399158613))
3211      - add GUID values for updatable images ([8d6b476](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8d6b4764f3e54431c3d01342d39d1efa70c3dbf9))
3212      - add GUID's for identifying firmware images to be booted ([41bd8b9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/41bd8b9e2ad3b755505684601f07d4f7f8ec04c4))
3213      - add helper to enable high speed mode in low voltage ([dea02f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dea02f4eaed855c2f05d8a1d7eefca313e98e5b4))
3214      - add logic to pass the boot index to the Update Agent ([ba02add](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ba02add9ea8fb9a8b0a533c1065a77c7dda4f2a6))
3215      - add logic to select the images to be booted ([8dd7553](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8dd755314fdfa077465bd6cd5e248be392d90378))
3216      - add NVMEM layout compatibility definition ([dfbdbd0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dfbdbd0625990267c6742268118ea748e77c6123))
3217      - add part numbers for STM32MP13 ([30eea11](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/30eea116cdd66b3fa1e1208e185eb7285a83d898))
3218      - add regulator framework compilation ([bba9fde](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bba9fdee589fb9a7aca5963f53b7ce67c30520b3))
3219      - add sdmmc compatible in platform define ([3331d36](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3331d3637c295993a78f22afe7463cf1c334d329))
3220      - add sign-compare warning ([c10f3a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c10f3a4559ebf7a654a9719fec619e81e6ee1d69))
3221      - add stm32_get_boot_interface function ([a6bfa75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a6bfa75cf25241a486ab371ae105ea7ebf2d34d8))
3222      - add support for building the FWU feature ([ad216c1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ad216c106682f1d2565b2a08e11a601b418dc8a4))
3223      - add support for reading the metadata partition ([0ca180f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ca180f6416160a523ff442f1ad0b768a9a3a948))
3224      - add timeout in IO compensation ([de02e9b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de02e9b0ec29548b8ce5ef6ee9adcd9c5edb0518))
3225      - allow configuration of DDR AXI ports number ([88f4fb8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/88f4fb8fa759b1761954067346ee674b454bdfde))
3226      - call pmic_voltages_init() in platform init ([ffd1b88](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ffd1b889225a8aec124df9e330f41dc638fd7180))
3227      - chip rev. Z is 0x1001 on STM32MP13 ([ef0b8a6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef0b8a6c1b1a0eab3626041f3168f82bdb410836))
3228      - enable BL2_IN_XIP_MEM to remove relocation sections ([d958d10](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d958d10eb360024e15f3c921dc3863a0cee98830))
3229      - enable format-signedness warning ([cff26c1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cff26c19169dd94857e8180cc46b7aa4ccac574a))
3230      - get CPU info from SYSCFG on STM32MP13 ([6512c3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6512c3a62a4a7baaf32597284b242bc7172b7e26))
3231      - introduce new flag for STM32MP13 ([bdec516](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bdec516ee862bfadc25a4d0c02a3b8d859c1fa25))
3232      - manage HSLV on STM32MP13 ([fca10a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fca10a8f1b47231ef92634a0adf1a26cbfc97c2a))
3233      - manage monotonic counter ([f5a3688](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f5a3688b8608df0f269a0b6df18632ebb9e26a01))
3234      - new way to access platform OTP ([ae3ce8b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ae3ce8b28eac73e9a41fdb28424d9f0f4b5f200e))
3235      - preserve the PLL4 settings for USB boot ([bf1af15](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf1af154db2c89028a8a551c18885add35d38966))
3236      - register fixed regulator ([967a8e6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/967a8e63c33822680e3a4631430dcd9a4a64becd))
3237      - remove unsupported features on STM32MP13 ([111a384](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/111a384c90afc629e644e7a8284abbd4311cc6b3))
3238      - retry 3 times FWU trial boot ([f87de90](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f87de907c87e5b2091592c131c4d3d2f737bef01))
3239      - select platform compilation either by flag or DT ([99a5d8d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99a5d8d01d38474b056766651bd746a4fe93ab20))
3240      - skip TOS_FW_CONFIG if not in FIP ([b706608](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7066086424c2f6fd04880db852306d6f487962e))
3241      - stm32mp_is_single_core() for STM32MP13 ([7b48a9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7b48a9f3286b8f174acf8821fec48fd2e4771514))
3242      - update BACKUP_BOOT_MODE for STM32MP13 ([4b031ab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b031ab4c50d0b9f7127daa7f4eec634f39de970))
3243      - update boot API for header v2.0 ([5f52eb1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f52eb15970e57d2777d114948fc1110e3dd3f6c))
3244      - update CFG0 OTP for STM32MP13 ([1c37d0c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1c37d0c1d378769249c797de5b13d73cf6f17a53))
3245      - update console management for SP_min ([aafff04](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aafff0435448c8409935132be41758e0031f0822))
3246      - update IO compensation on STM32MP13 ([8e07ab5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8e07ab5f705b213af28831f7c3e9878154e07df0))
3247      - update IP addresses for STM32MP13 ([52ac998](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52ac9983d67522b6b821391941c8b0d01fd68941))
3248      - update memory mapping for STM32MP13 ([48ede66](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/48ede6615168118c674288f2e4f8ee1b11d2fa02))
3249      - updates for STM32MP13 device tree compilation ([d38eaf9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d38eaf99d327bc1400f51c87b6d8a2f92cd828c6))
3250      - usb descriptor update for STM32MP13 ([d59b9d5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d59b9d53b9cfb2443575c62c6716eb5508374a7b))
3251      - use clk_enable/disable functions ([c7a66e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c7a66e720ae1a1a5ef98eaf9ff327cd352549010))
3252      - use only one filter for TZC400 on STM32MP13 ([b7d0058](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7d0058a3a9153a3863cf76a6763ea751b3ab48d))
3253      - warn when debug enabled on secure chip ([ac4b8b0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ac4b8b06eb23134d2a9002834541d33f8d43661b))
3254
3255  - **Texas Instruments**
3256
3257    - add enter sleep method ([cf5868b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cf5868b8cd7239dee69bdf6ba3ab87bd06bf15f5))
3258    - add gic save and restore calls ([b40a467](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b40a467783e5911f97d6e92ebdeb34ca2f005552))
3259    - add PSCI handlers for system suspend ([2393c27](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2393c27680a1ec636e413051e87e986df5a866fe))
3260    - allow build config of low power mode support ([a9f46fa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a9f46fad82b807a9f0a967245e3ac10ee8dd0ef1))
3261    - increase SEC_SRAM_SIZE to 128k ([38164e6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/38164e64bd853a8329475e9168c5fcb94ecc528b))
3262
3263  - **Xilinx**
3264
3265    - **Versal**
3266
3267      - add SPP/EMU platform support for versal ([be73459](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be73459a945d8fa781fcc864943ccd0a8d92421c))
3268      - add common interfaces to handle EEMI commands ([1397967](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1397967490c9f0ebff0d20a566260d1475fe065e))
3269      - add SMCCC call TF_A_PM_REGISTER_SGI ([fcf6f46](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fcf6f469318d693a024d42ae2d0f4afb26c1e85d))
3270      - add support to reset SGI ([bf70449](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf70449ba2d1ffd20b01741c491dc0f565009b3d))
3271      - add UART1 as console ([2c79149](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c791499c26b40c31ce7f68c3bf0dca777fc62de))
3272      - enhance PM_IOCTL EEMI API to support additional arg ([d34a5db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d34a5db8a76abdfc8fa68f43b24b971699086a06))
3273      - get version for ATF related EEMI APIs ([da6e654](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/da6e654bc8b03ee784d0e96a71c4e591e63930f2))
3274      - remove the time stamp configuration ([18e2a79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18e2a79f8a5eaa72a2a7e641c2481beb9f827dce))
3275
3276    - **ZynqMP**
3277
3278      - disable the -mbranch-protection flag ([67abd47](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67abd4762bd563be94e734bb0fe4087e88d5d446))
3279      - fix section `coherent_ram' will not fit in region `RAM' ([9b4ed0a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b4ed0af02a8ff1fd9a81af5213fde16d3eb8d92))
3280      - add feature check support ([223a628](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/223a6284b8a0a3ead884a7f0cf333a464d32e319))
3281      - add support to get info of xilfpga ([cc077c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc077c22273075db328bd30fa12c28abf9eef052))
3282      - add uart1 as console ([ea66e4a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea66e4af0baf5d5b905e72f824a672f16a6e0f98))
3283      - increase the max xlat tables when debug build is enabled ([4c4b961](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4c4b9615b1d9512a4a89aa08e722547cc491a07b))
3284      - pass ioctl calls to firmware ([76ff8c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76ff8c459e9e6d105e614d68648bd6680806f93e))
3285      - pm_api_clock_get_num_clocks cleanup ([e682d38](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e682d38b56854e1586b25d929dbc83543b4c66e4))
3286
3287- **Bootloader Images**
3288
3289  - add XLAT tables symbols in linker script ([bb5b942](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb5b942e6f133198daedcca0b74ec598af260a54))
3290
3291  - **BL2**
3292
3293    - add support to separate no-loadable sections ([96a8ed1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/96a8ed14b74cca33a8caf567d0f0a2d3b2483a3b))
3294
3295  - **BL31**
3296
3297    - aarch64: RESET_TO_BL31_WITH_PARAMS ([25844ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25844ff728e4a0e5430ba2032457aba7b780a701))
3298
3299- **Services**
3300
3301  - **RME**
3302
3303    - add dummy platform token to RMMD ([0f9159b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0f9159b7ebb7e784a8ed998869ff21095fa105b1))
3304    - add dummy realm attestation key to RMMD ([a043510](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0435105f229a65c7861b5997793f905cf90b823))
3305
3306  - **SPM**
3307
3308    - update ff-a boot protocol documentation ([573ac37](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/573ac37373d3e8b2c31b3aaeed759e4656e060ec))
3309
3310    - **EL3 SPMC**
3311
3312      - allow BL32 specific defines to be used by SPMC_AT_EL3 ([2d65ea1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d65ea1930d4ce26cc176a8c60e9401d0b4f862a))
3313      - add plat hook for memory transactions ([a8be4cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a8be4cd057bce5f0b4ac6af396c0c870474d1ef4))
3314      - add EL3 SPMC #defines ([44639ab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44639ab73e43e0b79da834dff8c85266d68e5066))
3315      - introduce accessor function to obtain datastore ([6a0788b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a0788bc0e704283e52c80990aa2bb6e047a0cc2))
3316      - add FF-A secure partition manager core ([5096aeb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5096aeb2ba646548a7a6ab59e975b996e6c9026a))
3317      - add FFA_FEATURES handler ([55a2963](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/55a296387b9720855df429a08c886f47a4a45057))
3318      - add FFA_PARTITION_INFO_GET handler ([f74e277](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f74e27723bb54ad1318fa462fbcff70af555b2e6))
3319      - add FFA_RUN handler ([aad20c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aad20c85cb6f4bc91318d3c6488cf72a20fdbe96))
3320      - add FFA_RX_RELEASE handler ([f0c25a0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0c25a082fc8b891d4d21518028118561caa4735))
3321      - add function to determine the return path from the SPMC ([20fae0a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/20fae0a7ce7fd407cd3efb7745017ee6ab605159))
3322      - add helper function to obtain endpoint mailbox ([f16b6ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f16b6ee3deac93706efe465f399c9542e12d5eeb))
3323      - add helper function to obtain hyp structure ([a7c0050](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a7c00505f85684326a223535a319c170d14826f6))
3324      - add helper to obtain a partitions FF-A version ([c2b1434](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2b1434369292081f907c548e496f59e197eb2f1))
3325      - add partition mailbox structs ([e1df600](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e1df6008d9b4a00da25ec08fbdcbd3a5967fdb54))
3326      - add support for direct req/resp ([9741327](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9741327df577c3f43db42b26bda607429e62af0b))
3327      - add support for FF-A power mgmt. messages in the EL3 SPMC ([59bd2ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/59bd2ad83c13ed3c84bb9b841032c95927358890))
3328      - add support for FFA_MSG_WAIT ([c4db76f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c4db76f066f236fe490ebc7a50833a04e08f5151))
3329      - add support for FFA_SPM_ID_GET ([46872e0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/46872e01f5efb555fef8367595b59e5d2f75cec0))
3330      - add support for forwarding a secure interrupt to the SP ([729d779](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/729d7793f830781ff8ed44d144c3346c6e4251a3))
3331      - add support for handling FFA_ERROR ABI ([d663fe7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d663fe7a3002ff028c190eb732278b878e78b7b7))
3332      - add support for v1.1 FF-A boot protocol ([2e21921](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2e21921502b1317031cf2a2f69c5d47ac88a505d))
3333      - add support for v1.1 FF-A memory data structures ([7e804f9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e804f9695c48681c91e9e6fc6175eb6997df867))
3334      - enable building of the SPMC at EL3 ([1d63ae4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d63ae4d0d8374a732113565be90d58861506e39))
3335      - enable checking of execution ctx count ([5b0219d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b0219ddd5da42413f4c2be9302224b5b71295ff))
3336      - enable handling FF-A RX/TX Mapping ABIs ([1a75224](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1a752245ecae6487844c57667e24b704e6df8079))
3337      - enable handling FFA_VERSION ABI ([0c7707f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c7707fdf21fc2a8658f5a4bdfd2f8883d02ada5))
3338      - enable handling of the NS bit ([0560b53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0560b53e71ab6daefa8e75665a718605478746a4))
3339      - enable parsing of messaging methods from manifest ([3de378f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3de378ff8c9430c964cbe9b0c58fa5afc4d237ce))
3340      - enable parsing of UUID from SP Manifest ([857f579](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/857f5790da3770a9ca52416274eec4e545c9be53))
3341      - enable the SPMC to pass the linear core ID in a register ([f014300](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0143004e548582760aacd6f15f5499b18081a69))
3342      - prevent read only xlat tables with the EL3 SPMC ([70d986d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70d986ddbbf56a20c7550c079dd4dc9462332594))
3343      - support FFA_ID_GET ABI ([d5fe923](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5fe92350cb018ae7083ed26a6a16508ccd82a86))
3344      - allow forwarding of FFA_FRAG_RX/TX calls ([642db98](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/642db9840712044b9c496e04a7acd60580e54117))
3345      - enable handling of FF-A SMCs with the SPMC at EL3 ([bb01a67](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb01a67306f47271adde051e541c760028c1a0f1))
3346      - update SPMC init flow to use EL3 implementation ([6da7607](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6da76075bf4b953d621aa15c379e62a5f785de3f))
3347      - add logical partition framework ([7affa25](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7affa25cad400101c016082be2d102be0f4fce80))
3348      - add FF-A memory management code ([e0b1a6d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0b1a6d59e57c0dbe87f5b8f8166f1123664f058))
3349      - prevent duplicated sharing of memory regions ([fef85e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fef85e1e53fcf44e8d9ed50c89d8a764bf1b7738))
3350      - support multiple endpoints in memory transactions ([f0244e5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f0244e5dd1b8cbab75ef00c1b9b56eed5b3cad4b))
3351
3352    - **SPMD**
3353
3354      - forward FFA_VERSION from SPMD to SPMC ([9944f55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9944f55761c4d5cc1feefaf5e33bf7fb83d8f5f3))
3355      - enable SPMD to forward FFA_VERSION to EL3 SPMC ([9576fa9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9576fa93a2effc23a533b80dce41d7104a8d200b))
3356      - add FFA_MSG_SEND2 forwarding in SPMD ([c2eba07](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2eba07c47f8d831629104eeffcec11ed7d3b0a5))
3357      - add FFA_RX_ACQUIRE forwarding in SPMD ([d555233](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d555233fe5a04dfd99fd6ac30bacc5284285c131))
3358
3359    - **SPM MM**
3360
3361      - add support to save and restore fp regs ([15dd6f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15dd6f19da8ee4b20ba525e0a742d0df9e46e071))
3362
3363- **Libraries**
3364
3365  - **CPU Support**
3366
3367    - add library support for Poseidon CPU ([1471475](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1471475516cbf1b4a411d5ef853bd92d0edd542e))
3368    - add support for Cortex-X1 ([6e8eca7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e8eca78e5db966e10e2fa2737e9be4d5af51fa9))
3369    - add L1PCTL macro definiton for CPUACTLR_EL1 ([8bbb1d8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8bbb1d80a58dbdf96fcabbdebbfbd21d2d5344a4))
3370
3371  - **EL3 Runtime**
3372
3373    - add arch-features detection mechanism ([6a0da73](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a0da73647546aea1d10b4b2347bac9d532bcb43))
3374    - replace ARM_ARCH_AT_LEAST macro with FEAT flags ([0ce220a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ce220afb24f0511332b251952019d7011ccc282))
3375
3376  - **FCONF**
3377
3378    - add a helper to get image index ([9e3f409](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9e3f409398af447b1d03001dd981007a9bb1617e))
3379    - add NS load address in configuration DTB nodes ([ed4bf52](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed4bf52c33b6860d58a2ffc946bd293ec76bbdaa))
3380
3381  - **Standard C Library**
3382
3383    - add support for length specifiers ([701e94b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/701e94b08f382691b0deabd4df882abd87e17ab5))
3384
3385  - **PSA**
3386
3387    - add initial attestation API ([0848565](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/084856513d6730a50a3d65ac9c3bdae465117c40))
3388    - add measured boot API ([758c647](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/758c64715b691be92de623f81032494e38a43cc8))
3389    - mock PSA APIs ([0ce2072](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ce2072d9b9f419bb19595454395a33a5857ca2f))
3390
3391- **Drivers**
3392
3393  - **Generic Clock**
3394
3395    - add a minimal clock framework ([847c6bc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/847c6bc8e6d55b1c0f31a52407aa61515cd6c612))
3396
3397  - **FWU**
3398
3399    - add a function to pass metadata structure to platforms ([9adce87](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9adce87efc8acc947b8b49d700c9773a7f071e02))
3400    - add basic definitions for GUID handling ([19d63df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/19d63df1af72b312109b827cca793625ba6fcd16))
3401    - add platform hook for getting the boot index ([40c175e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40c175e75bc442674a5dc793c601b09681158ab9))
3402    - pass a const metadata structure to platform routines ([6aaf257](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6aaf257de4a4070ebc233f35a09bce4c39ea038c))
3403    - simplify the assert to check for fwu init ([40b085b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40b085bddf60cf8c533b379ccb41e6668c5080dd))
3404
3405  - **Measured Boot**
3406
3407    - add RSS backend ([0442ebd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0442ebd2e9bcf5fa4344d8fa8ef4b69a3b249e33))
3408
3409  - **GUID Partition Tables Support**
3410
3411    - add a function to identify a partition by GUID ([3cb1065](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3cb1065581f6d9a8507af8dbca3779d139aa0ca7))
3412    - cleanup partition and gpt headers ([2029f93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2029f930097b0c3b1b1faa660032d16ed01a5c86))
3413    - copy the partition GUID into the partition structure ([7585ec4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7585ec4d36ebb7e286cfec959b2de084eded8201))
3414    - make provision to store partition GUID value ([938e8a5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/938e8a500a25a949cfd25f0cb79f6c1359c9b40c))
3415    - verify crc while loading gpt header ([a283d19](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a283d19f82ddb635d9d9fa061e7fd956167ebe60))
3416
3417  - **Arm**
3418
3419    - **GIC**
3420
3421      - allow overriding GICD_PIDR2_GICV2 address ([a7521bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a7521bd5d887bfd69d99a55a81416e38ba9ebc97))
3422
3423      - **GIC-600AE**
3424
3425        - disable SMID for unavailable blocks ([3f0094c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3f0094c15d433cd3de413a4633a4ac2b8e1d1f2e))
3426        - enable all GICD, PPI, ITS SMs ([6a1c17c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a1c17c770139c00395783e7568220d61264c247))
3427        - introduce support for RAS error handling ([308dce4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/308dce40679f63db504cd3d746a0c37a2a05f473))
3428
3429    - **SMMU**
3430
3431      - add SMMU abort transaction function ([6c5c532](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c5c5320511ab8202fb9eccce9e66b4e4e0d9a33))
3432      - configure SMMU Root interface ([52a314a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52a314af254966a604e192fcc3326737354f217a))
3433
3434    - **MHU**
3435
3436      - add MHU driver ([af26d7d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af26d7d6f01068809f17cc2d49a9b3d573c640a9))
3437
3438    - **RSS**
3439
3440      - add RSS communication driver ([ce0c40e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce0c40edc93aa403cdd2eb6c630ad23e28b01c3e))
3441
3442    - **TZC**
3443
3444      - **TZC-380**
3445
3446        - add sub-region register definition ([fdafe2b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fdafe2b5ead66a1b5175db77bcc7cedafa14a059))
3447
3448  - **Marvell**
3449
3450    - **Armada**
3451
3452      - **A3K**
3453
3454        - **A3720**
3455
3456          - preserve x1/x2 regs in console_a3700_core_init() ([7c85a75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7c85a7572960efbaabe20c9db037bcec66be3e98))
3457
3458  - **MediaTek**
3459
3460    - **APU**
3461
3462      - add mt8195 APU clock and pll SiP call ([296b590](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/296b590206aa6db51e5c82b1a97a4f9707b49c4d))
3463      - add mt8195 APU iommap regions ([339e492](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/339e4924a7a3fd11bc176e0bf3e01d76133d364c))
3464      - add mt8195 APU mcu boot and stop SiP call ([88906b4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/88906b443734399be5c07a5bd690b63d3d82cefa))
3465
3466  - **NXP**
3467
3468    - **DCFG**
3469
3470      - add Chassis 3 support ([df02aee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/df02aeeec640d2358301e903d9c8c473d455be9e))
3471      - add gic address align register definition ([3a8c9d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3a8c9d78d4c65544d789bd64bd005ac10b5b352d))
3472      - add some macro definition ([1b29fe5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b29fe534b8732193850fced2da1dc449450bd3b))
3473
3474    - **NXP Crypto**
3475
3476      - add chassis 3 support ([d60364d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d60364d48e31b33b57049d848b7462eb0e0de612))
3477
3478    - **DDR**
3479
3480      - add rawcard 1F support ([f2de48c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f2de48cb143c20ccd7a9c141df3d34cae74049de))
3481      - add workaround for errata A050958 ([291adf5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/291adf521a54a365e54964bff4dae53d51c65936))
3482
3483    - **GIC**
3484
3485      - add some macros definition for gicv3 ([9755fd2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9755fd2ec2894323136715848910b13053cfe0ce))
3486
3487    - **CSU**
3488
3489      - add bypass bit mask definition ([ec5fc50](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ec5fc501f15922967bf5d8260072ba1f9aec9640))
3490
3491    - **IFC NAND**
3492
3493      - add IFC NAND flash driver ([28279cf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28279cf2c141caf5e4e7156f874cde6f5a0d271b))
3494
3495    - **IFC NOR**
3496
3497      - add IFC nor flash driver ([e2fdc77](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e2fdc77ba4eee91f0d1490e34f0fff552fc55dc9))
3498
3499    - **TZC-380**
3500
3501      - add tzc380 platform driver support ([de9e57f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de9e57ff1f3769e770eac44b94127eb7239a63f2))
3502
3503  - **ST**
3504
3505    - introduce fixed regulator driver ([5d6a264](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d6a2646f7759a5a2b3daed0d8aef4588c552ba4))
3506
3507    - **Clock**
3508
3509      - add clock driver for STM32MP13 ([9be88e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9be88e75c198b08c508d8e470964720a781294b3))
3510      - assign clocks to the correct BL ([7418cf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7418cf397916c97cb4ecf159b1f497a84299b695))
3511      - check HSE configuration in serial boot ([31e9750](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31e9750bc17bd472d4f2a3db297461efc301be51))
3512      - define secure and non-secure gate clocks ([aaa09b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aaa09b713c6f539fb5b2ee7e2dfd75f2d46875f5))
3513      - do not refcount on non-secure clocks in bl32 ([3d69149](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d69149a7e9e9a899d57f48bee26f98614f88935))
3514      - manage disabled oscillator ([bcccdac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bcccdacc7e7b7b985df942b3fae26cb9038a2574))
3515
3516    - **DDR**
3517
3518      - add read valid training support ([5def13e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5def13eb01ebac5656031bdc388a215d012fdaf8))
3519
3520    - **GPIO**
3521
3522      - allow to set a gpio in output mode ([53584e1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/53584e1d5b2b843ea3bb9e01e3f01ea7c364ee6a))
3523      - do not apply secure config in BL2 ([fc0aa10](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fc0aa10a2cd3cab887a8baa602891d1f45db2537))
3524      - add a function to reset a pin ([737ad29](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/737ad29bf992a7a79d538d1e0b47c7f38d9a4b9d))
3525
3526    - **SDMMC2**
3527
3528      - allow compatible to be defined in platform code ([6481a8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6481a8f1e045ac80f0325b8bfe7089ba23deaf7b))
3529      - manage cards power cycle ([258bef9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/258bef913aa76ead1b10c257d1695d9c0ef1c79d))
3530
3531    - **ST PMIC**
3532
3533      - add pmic_voltages_init() function ([5278ec3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5278ec3faf2010fd6aea1d8cd4294dd229c5c21d))
3534      - register the PMIC to regulator framework ([85fb175](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85fb175b5ef854bc4607db98a4cfb5f35d822cee))
3535
3536    - **STPMIC1**
3537
3538      - add new services ([ea552bf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea552bf5a57b573a6b09e396e3466b3c4af727f0))
3539      - add USB OTG regulators ([13fbfe0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/13fbfe046e71393961d2c70a4f748a15f9c15f77))
3540
3541    - **Regulator**
3542
3543      - add support for regulator-always-on ([9b4ca70](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b4ca70d97d9a2556752b511ff9fe52012faff02))
3544      - add a regulator framework ([d5b4a2c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5b4a2c4e7fd0bcb9f08584b242e69a2e591fb71))
3545
3546    - **UART**
3547
3548      - manage oversampling by 8 ([1f60d1b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1f60d1bd33d434b0c82a74e276699ee5a2f63833))
3549      - add uart driver for STM32MP1 ([165ad55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/165ad5561ef598ea6261ba082610eeff3f208df7))
3550
3551- **Miscellaneous**
3552
3553  - **Debug**
3554
3555    - update print_memory_map.py ([d16bfe0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d16bfe0feffe6a20399fb91d86fd8f7282b941dd))
3556
3557  - **DT Bindings**
3558
3559    - add bindings for STM32MP13 ([1b8898e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b8898eb32c3872a34fc59f4216736f23af0c6ea))
3560    - add TZC400 bindings for STM32MP13 ([24d3da7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24d3da76d221390bb47d501c2ed77a1a7d2b42e7))
3561
3562  - **FDT Wrappers**
3563
3564    - add function to find or add a sudnode ([dea8ee0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dea8ee0d3f13f8d1638745b76e86bd7617bf92e7))
3565
3566  - **FDTs**
3567
3568    - add the ability to supply idle state information ([2b2b565](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b2b565717cc0299e75e8806004d1a3548e9fbf7))
3569
3570    - **STM32MP1**
3571
3572      - add DDR support for STM32MP13 ([e6fddbc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e6fddbc995947d4e5a5dc6607c76cd46fdd840e2))
3573      - add DT files for STM32MP13 ([3b99ab6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b99ab6e370a01caec14bc5422a86001eaf291b8))
3574      - add nvmem_layout node and OTP definitions ([ff8767c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff8767cbfc2bb851a2f6cc32fbe3693ddbfb7d12))
3575      - add st-io_policies node for STM32MP13 ([2bea351](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2bea35122d102492f18c427535ce6c9b7016e356))
3576      - add support for STM32MP13 DK board ([2b7f7b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b7f7b751f4b0f7a8a0f4a35407af22cc269e529))
3577      - update NVMEM nodes ([375b79b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/375b79bb4a773fe6a5dd971272c72bf12155050e))
3578
3579- **Documentation**
3580
3581  - context management refactor proposal ([3274226](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/327422633bef112a10579d4daeca0f596cd02911))
3582
3583  - **Threat Model**
3584
3585    - Threat Model for TF-A v8-R64 Support ([dc66922](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dc669220d5666c2c808bc11ba81c86a9b071271a))
3586
3587- **Tools**
3588
3589  - **Secure Partition Tool**
3590
3591    - add python SpSetupActions framework ([b1e6a41](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b1e6a41572240839e62099aa00298174b18c696a))
3592    - delete c version of the sptool ([f4ec476](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4ec47613fef8db8037195147dc2ac6fb6f154ff))
3593    - python version of the sptool ([2e82874](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2e82874cc9b7922e000dd4d7718e3153e347b1d7)
3594    - use python version of sptool ([822c727](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/822c72791f791d26e233df0c15a655c3dbd8b117))
3595
3596### Resolved Issues
3597
3598- **Architecture**
3599
3600  - **Activity Monitors Extension (FEAT_AMU)**
3601
3602    - add default value for ENABLE_FEAT_FGT and ENABLE_FEAT_ECV flags ([820371b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/820371b13028a6f620a62cf73a951883d051666b))
3603    - fault handling on EL2 context switch ([f74cb0b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f74cb0be8ac80eb3072555cb04eb09375d4cb31f))
3604    - limit virtual offset register access to NS world ([a4c3945](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4c394561af31ae0417ed9ff3b3152adb7cd5355))
3605
3606  - **Scalable Vector Extension (FEAT_SVE)**
3607
3608    - disable ENABLE_SVE_FOR_NS for AARCH32 ([24ab2c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/24ab2c0af74be174acf755a36b3ebba867184e60))
3609
3610- **Platforms**
3611
3612  - **Allwinner**
3613
3614    - improve DTB patching error handling ([79808f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/79808f10c32d441572666551b1545846079af15b))
3615
3616  - **Arm**
3617
3618    - fix fvp and juno build with USE_ROMLIB option ([861250c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/861250c3b26d64f859f5f37686e453d5074fa976))
3619    - increase ARM_BL_REGIONS count ([dcb1959](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dcb1959161935aa58d2bb852f3cef0b96458a4e1))
3620    - remove reclamation of functions starting with "init" ([6c87abd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c87abdda400354ebf4f5351086c32a4620475c9))
3621    - use PLAT instead of TARGET_PLATFORM ([c5f3de8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c5f3de8dabc9b955b6051a6c6116d40b10a84f5d))
3622    - fix SP count limit without dual root CoT ([9ce15fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ce15fe8910580efca46b9f102e117402ce769db))
3623
3624    - **FVP**
3625
3626      - FCONF Trace Not Shown ([0c55c10](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c55c10305df6217fd978d58ce203dbad3edd4d5))
3627      - disable reclaiming init code by default ([fdb9166](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fdb9166b9494402eb2da7e0b004c121b322725e0))
3628      - extend memory map to include all DRAM memory regions ([e803542](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e80354212f591c8813dec27353e8241e03155b4c))
3629      - fix NULL pointer dereference issue ([a42b426](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a42b426b8548e3304e995f1a49d2470d71072949))
3630      - op-tee sp manifest doesn't map gicd ([69cde5c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/69cde5cd9563f0c665862f1e405ae8e8d2818c6e))
3631
3632    - **Morello**
3633
3634      - change the AP runtime UART address ([07302a2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07302a23ec1af856b3d4de0439161a8c23414f84))
3635      - fix SoC reference clock frequency ([e8b7a80](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8b7a80436c2bc81c61fc4703d6580f2fe9226a9))
3636      - include errata workaround for 1868343 ([f94c84b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f94c84baa2a2bad75397b0ec6a0922fe8a475847))
3637
3638    - **SGI**
3639
3640      - disable SVE for NS to support SPM_MM builds ([78d7e81](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/78d7e819798ace643b6e22025dc76aedb199bbd5))
3641
3642    - **TC**
3643
3644      - remove the bootargs node ([68fe3ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68fe3cec25bc9ea4e1bafdb1d9f5315e245d650b))
3645
3646    - **Corstone-1000**
3647
3648      - change base address of FIP in the flash ([1559450](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1559450132c5e712f4d6896e53e4f1cb521fa465))
3649
3650  - **Broadcom**
3651
3652    - allow build to specify mbedTLS absolute path ([903d574](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/903d5742953d9d4b224e71d8b1e62635e83f44a9))
3653    - fix the build failure with mbedTLS config ([95b5c01](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95b5c0126b802b894ea0177d973978e06b6a254d))
3654
3655  - **Intel**
3656
3657    - add flash dcache after return response for INTEL_SIP_SMC_MBOX_SEND_CMD ([ac097fd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ac097fdf07ad63b567ca751dc518f8445a0baef6))
3658    - allow non-secure access to FPGA Crypto Services (FCS) ([4837a64](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4837a640934630f8034ceec1bb84cc40673d8a6b))
3659    - always set doorbell to SDM after sending command ([e93551b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e93551bb3bd8ac43779fa70c7363ee2568da45ca))
3660    - assert if bl_mem_params is NULL pointer ([35fe7f4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/35fe7f400a7f1d65ff2fee5531d20f6c2f3e6f39))
3661    - bit-wise configuration flag handling ([276a436](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/276a43663e8e315fa1bf0aa4824051d88705858b))
3662    - change SMC return arguments for INTEL_SIP_SMC_MBOX_SEND_CMD ([108514f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/108514ff7160a86efb791449a4635ffe0f9fdf2c))
3663    - configuration status based on start request ([e40910e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e40910e2dc3fa59bcce83ec1cf9a33b3e85012c4))
3664    - define macros to handle buffer entries ([7db1895](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7db1895f0be2f8c6710bf51d8441d5e53e3ef0fe))
3665    - enable HPS QSPI access by default ([000267b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/000267be22d3c0077c0fd0a8377ceeed5aada4c3))
3666    - extend SDM command to return the SDM firmware version ([c026dfe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c026dfe38cfae379457a6ef53130bd5ebc9d7808))
3667    - extending to support large file size for AES encryption and decryption ([dcb144f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dcb144f1fbcef73ddcc448d5ed6134aa279069b6))
3668    - extending to support large file size for SHA-2 ECDSA data signing and signature verifying ([1d97dd7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d97dd74cd128edd7ad45b725603444333c7b262))
3669    - extending to support large file size for SHA2/HMAC get digest and verifying ([70a7e6a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70a7e6af958f3541476a8de6baac8e376fcc67f9))
3670    - fix bit masking issue in intel_secure_reg_update ([c9c0709](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c9c070994caedf123212aad23b6942122c5dd793))
3671    - fix configuration status based on start request ([673afd6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/673afd6f8e7266900b00a7cbeb275fe1a3d69cce))
3672    - fix ddr address range checker ([12d71ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12d71ac6627bb6822a0314e737794a8503df79dd))
3673    - fix ECC Double Bit Error handling ([c703d75](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c703d752cce4fd101599378e72db66ccf53644fa))
3674    - fix fpga config write return mechanism ([ef51b09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef51b097bfa906bf1cee8ee641a1b7bcc8c5f3c0))
3675    - flush dcache before sending certificate to mailbox ([49d44ec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49d44ec5f357b1bcf8eae9e91fbd72aef09e00dd))
3676    - get config status OK status ([07915a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07915a4fd5848fbac69dcbf28f00353eed10a942))
3677    - introduce a generic response error code ([651841f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/651841f20110ce6fac650e3ac47b0a9cce18e6f3))
3678    - make FPGA memory configurations platform specific ([f571183](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f571183b066b1a91b7fb178c3aad9d6360d1918c))
3679    - modify how configuration type is handled ([ec4f28e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ec4f28ecec8887a685d6119c096ad346da1ea53e))
3680    - null pointer handling for resp_len ([a250c04](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a250c04b0cc807f626df92a7091ff13b3a3aa9ed))
3681    - refactor NOC header ([bc1a573](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc1a573d5519f121cb872fce1d88fe2e0db07b2c))
3682    - reject non 4-byte align request size for FPGA Crypto Service (FCS) ([52ed157](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52ed157fd66812debb13a792c21f763de01aef70))
3683    - remove redundant NOC header declarations ([58690cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/58690cd629b4ccdefe5313f805219598074a3501))
3684    - remove unused printout ([0d19eda](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0d19eda0dd2ffae27d0551b1f0a06a2b8f96c853))
3685    - update certificate mask for FPGA Attestation ([fe5637f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fe5637f27aebfdab42915c2ced2c34d8685ee2bb))
3686    - update encryption and decryption command logic ([02d3ef3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/02d3ef333d4a0a07a3e40defb12a8cde3a7cba03))
3687    - use macro as return value ([e0fc2d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0fc2d1907b1c8a062c44a435be77a12ffeed84b))
3688
3689  - **Marvell**
3690
3691    - **Armada**
3692
3693      - **A3K**
3694
3695        - change fatal error to warning when CM3 reset is not implemented ([30cdbe7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/30cdbe7043832f7bd96b40294ac062a8fc9c540f))
3696        - fix comment about BootROM address range ([5a60efa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a60efa12a57cde98240f861e45609cb9b94d58d))
3697
3698  - **Mediatek**
3699
3700    - **MT8186**
3701
3702      - remove unused files in drivers/mcdi ([bc714ba](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc714bafe7ae8ca29075ba9bf3985c0e15ae0f64))
3703      - extend MMU region size ([0fe7ae9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0fe7ae9c64aa6f6d5b06a80de9c88081057d5dbe))
3704
3705  - **NVIDIA**
3706
3707    - **Tegra**
3708
3709      - **Tegra 194**
3710
3711        - remove incorrect erxctlr assert ([e272c61](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e272c61ce8185deb397dcf168ec72bdaa5926a33))
3712
3713  - **NXP**
3714
3715    - fix total dram size checking ([0259a3e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0259a3e8282ed17c1d378a27f820f44b3bebab07))
3716    - increase soc name maximum length ([3ccd7e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3ccd7e45a2c3ff9fa7794f0284c9d0298e7cb982))
3717
3718    - **i.MX**
3719
3720      - **i.MX 8M**
3721
3722        - check the validation of domain id ([eb7fb93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eb7fb938c3ce34ccfb143ae8ba695df899098436))
3723
3724        - **i.MX 8M Plus**
3725
3726          - change the BL31 physical load address ([32d5042](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/32d5042204e8b41caa4c0c1ed5b48bad9f1cb1b5))
3727
3728    - **Layerscape**
3729
3730      - fix build issue of mmap_add_ddr_region_dynamically ([e2818d0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e2818d0afc20a60d302f85f4c915e4ae4cc3cb9c))
3731      - fix coverity issue ([5161cfd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5161cfde9bfaa3a715d160fcd4870f276adad332))
3732      - update WA for Errata A-050426 ([72feaad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72feaad980cdc472868bc95914202bf57ed51b2d))
3733
3734      - **LX2**
3735
3736        - drop erratum A-009810 ([e36b0e4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e36b0e4910aea56f90a6ab9b8cf3dc4008220031))
3737
3738  - **Renesas**
3739
3740    - **R-Car**
3741
3742      - **R-Car 3**
3743
3744        - change stack size of BL31 ([d544dfc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d544dfcc4959d203b06dbfb85fb0ad895178b379))
3745        - fix SYSTEM_OFF processing for R-Car D3 ([1b49ba0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1b49ba0fde5eb9e47fe50152c192579101feb718))
3746        - fix to bit operation for WUPMSKCA57/53 ([82bb6c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/82bb6c2e88314a5b3f2326c95095c3b20a389947))
3747
3748  - **Socionext**
3749
3750    - **Synquacer**
3751
3752      - initialise CNTFRQ in Non Secure CNTBaseN ([4d4911d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d4911d77d4d59c7dd18d7fc3724ddb1fa3582b7))
3753
3754  - **ST**
3755
3756    - add missing header include ([b1391b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b1391b294ca7803f46bc47048b4a02a15dda9a16))
3757    - don't try to read boot partition on SD cards ([9492b39](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9492b391a35c66e1e7630e95347259191b28314d))
3758    - fix NULL pointer dereference issues ([2deff90](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2deff904a953c6a87331ab6830ab80e3889d9e23))
3759    - manage UART clock and reset only in BL2 ([9e52d45](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9e52d45fdf619561e0a7a833b77aaacc947a4dfd))
3760    - remove extra chars from dtc version ([03d2077](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/03d20776efc20a04a5191a4f39965079a4d60b3c))
3761
3762    - **ST32MP1**
3763
3764      - add missing debug.h ([356ed96](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/356ed961184847dcd088cfcda44b71eeb0ef2377))
3765      - correct dtc version check ([429f10e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/429f10e3367748abd33b4f6f9ee362c0ba74dd95))
3766      - correct include order ([ff7675e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff7675ebf94999618dbde14bb59741cefb2b2edd))
3767      - correct types in messages ([43bbdca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/43bbdca04f5a20bb4e648e18fc63061b6a6e4ecf))
3768      - deconfigure UART RX pins ([d7176f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7176f0319cd399aae9a906e5d78e67b32e183f5))
3769      - do not reopen debug features ([21cfa45](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21cfa4531a76a7c3cad00e874400b97e2f68723c))
3770      - fix enum prints ([ceab2fc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ceab2fc3442dbda1c4beaff3c4fe708a04c02303))
3771      - include assert.h to fix build failure ([570c71b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/570c71b20a195ade510f5d584c69325d2634c50b))
3772      - remove interrupt_provider warning for dtc ([ca88c76](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca88c761d34854ed3e0b16b9c5f39b0790d320ab))
3773      - restrict DEVICE2 mapping in BL2 ([db3e0ec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/db3e0ece7157181a3529d14172368003eb63dc30))
3774      - rework switch/case for MISRA ([f7130e8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f7130e81cf9c3682232bb9319b1798184b44920f))
3775      - set reset pulse duration to 31ms ([9a73a56](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a73a56c353d32742e03b828647562bdbe2ddbb2))
3776
3777  - **Xilinx**
3778
3779    - fix coding style violations ([bb1768c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb1768c67ea06ac466e2cdc7e5338c3d23dac79d))
3780    - fix mismatching function prototype ([81333ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81333eac716b25a9fd112cc4f5990e069f3bdb40))
3781
3782    - **Versal**
3783
3784      - resolve misra R10.1 in pm services ([775bf1b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/775bf1bbd32c2df47f4ff597eb8a452d2983e590))
3785      - resolve misra R10.3 ([b2bb3ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b2bb3efb8f590f31b1205c51d56be1dd6f473fbb))
3786      - resolve misra R10.3 in pm services ([5d1c211](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d1c211e225d40d2926bf34483c90f907a6c5dc3))
3787      - resolve misra R10.6 ([93d4625](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93d462562727f4f428e6f975a972226dafbfd305))
3788      - resolve misra R10.6 in pm services ([fa98d7f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa98d7f2f8752e37f740b43f533547288552a393))
3789      - resolve misra R14.4 ([a62c40d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a62c40d42703d5f60a8d80938d2cff721ee131bd))
3790      - resolve misra R15.6 ([b9fa2d9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b9fa2d9fc154feffe78e677ace54b0e34f011439))
3791      - resolve misra R15.6 in pm services ([4156719](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4156719550ceddf5b1b4a47464fb32f7506e0dca))
3792      - resolve misra R15.7 ([bc2637e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc2637e3799dbc9642447ddb719e0262347b1309))
3793      - resolve misra R16.3 in pm services ([27ae531](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/27ae5310883b0db7d4e2dd4fbc1fd58e675f75b5))
3794      - resolve misra R17.7 ([526a1fd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/526a1fd1472874561988777f8ecd8b87734a0671))
3795      - resolve misra R20.7 in pm services ([5dada62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5dada6227b949ef702bfab7986bc083689afdaf7))
3796      - resolve misra R7.2 ([0623dce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0623dcea0f6e7a5c9d65413445df8a96a2b40d42))
3797      - fix coverity scan warnings ([0b15187](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b15187225a9134e3acbc7693646b21d43617b3b))
3798      - fix the incorrect log message ([ea04b3f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea04b3fe183b6661f656b4cc38cb93a73d9bc202))
3799
3800    - **ZynqMP**
3801
3802      - define and enable ARM_XLAT_TABLES_LIB_V1 ([c884c9a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c884c9a55b167383ff3d96d2d0a30ac6842bcc86))
3803      - query node status to power up APU ([b35b556](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b35b556718b60b78cb5d96b0c137e2fe82eb0086))
3804      - resolve misra 7.2 warnings ([5bcbd2d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5bcbd2de127292f3ad076217e08468388c6844b0))
3805      - resolve misra 8.3 warnings ([944e7ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/944e7ea94f2594e2b128c671cf7415265302596b))
3806      - resolve misra R10.3 ([2b57da6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2b57da6c91ebe14588e63e5a24f31ef32711eca2))
3807      - resolve misra R14.4 warnings ([dd1fe71](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dd1fe7178b578916b1e133b7c65c183e1f994371))
3808      - resolve misra R15.6 warnings ([eb0d2b1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/eb0d2b17722c01a22bf3ec1123f7bed2bf891b09))
3809      - resolve misra R15.7 warnings ([16de22d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/16de22d037644359ef2a04058134f9c326b36633))
3810      - resolve misra R16.3 warnings ([e7e5d30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e7e5d30308ccfb931f7b6d0afa6c5c23971e95c0))
3811      - resolve misra R8.4 warnings ([610eeac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/610eeac89438d603435bde694eb4ddab07f46e45))
3812      - update the log message to verbose ([1277af9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1277af9bacca36b46d7aa341187bb3abef84332f))
3813      - use common interface for eemi apis ([a469c1e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a469c1e1f4c1cd69f98ce45d6e0709de091b8cb3))
3814
3815- **Bootloader Images**
3816
3817  - **BL1**
3818
3819    - invalidate SP in data cache during secure SMC ([f1cbbd6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1cbbd6332bb85672dc72cbcc4ac7023323c6936))
3820
3821  - **BL2**
3822
3823    - correct messages with image_id ([e4c77db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e4c77db9c80d87009611a3079454877e6ce45a04))
3824    - define RAM_NOLOAD for XIP ([cc562e7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc562e74101d800b0b0ee3422fb7f4f8321ae2b7))
3825
3826- **Services**
3827
3828  - **RME**
3829
3830    - enable/disable SVE/FPU for Realms ([a4cc85c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4cc85c129d031d9c887cf59b1baeaef18a43010))
3831    - align RMI and GTSI FIDs with SMCCC ([b9fd2d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b9fd2d3ce3d4e543a2e04dc237cd4e7ff7765c7a))
3832    - preserve x4-x7 as per SMCCCv1.1 ([1157830](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/11578303fd04a8da36fddb5e6de44f026bf4d24c))
3833
3834    - **TRP**
3835
3836      - Distinguish between cold and warm boot ([00e8113](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/00e8113145aa12d89db72068bdd3157f08575d14))
3837
3838  - **SPM**
3839
3840    - **EL3 SPMC**
3841
3842      - fix incorrect FF-A version usage ([25eb2d4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/25eb2d41a6d2ede1e945bbc67ae3f740b92a40bb))
3843      - fix FF-A memory transaction validation ([3954bc3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3954bc3c03439dbdc7029cf2418c79a037918ce4))
3844
3845- **Libraries**
3846
3847  - **CPU Support**
3848
3849    - workaround for  Cortex-A710 2282622 ([ef934cd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef934cd17c30dcc39cd9022a1c4e9523ec8ba617))
3850    - workaround for  Cortex-A710 erratum 2267065 ([cfe1a8f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cfe1a8f7123f0dc8376b2075cc6e8e32b13739b2))
3851    - workaround for Cortex A78 AE erratum 2376748 ([92e8708](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/92e870843e9bd654fd1041d66f284c19ca9c0d4f))
3852    - workaround for Cortex A78 AE erratum 2395408 ([3f4d81d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3f4d81dfd26649fbcbbbe993a9f0236f5bb07c8a))
3853    - workaround for Cortex X2 erratum 2002765 ([34ee76d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34ee76dbdfeee85f123cb903ea95dbee5e9a44a5))
3854    - workaround for Cortex X2 erratum 2058056 ([e16045d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e16045de50e8b430e6601ba0e1e47097d8310f3d))
3855    - workaround for Cortex X2 erratum 2083908 ([1db6cd6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1db6cd60279e2d082876692a65cf9c532f506a69))
3856    - workaround for Cortex-A510 erratum 1922240 ([8343563](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/83435637bfafbf1ce642a5fabb52e8d7b2819e36))
3857    - workaround for Cortex-A510 erratum 2041909 ([e72bbe4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e72bbe47ba7f2a0087654fd99ae24b5b7b444943))
3858    - workaround for Cortex-A510 erratum 2042739 ([d48088a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d48088acbe400133037ae74acf1b722b059119bb))
3859    - workaround for Cortex-A510 erratum 2172148 ([c0959d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0959d2c460cbf7c14e7ba2a57d69ecddae80fd8))
3860    - workaround for Cortex-A510 erratum 2218950 ([cc79018](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc79018b71e45acb524fc5d429d394497ad53646))
3861    - workaround for Cortex-A510 erratum 2250311 ([7f304b0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f304b02a802b7293d7a8b4f4030c5ff00158404))
3862    - workaround for Cortex-A510 erratum 2288014 ([d5e2512](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5e2512c6b86409686f5d1282922ebdf72459fc2))
3863    - workaround for Cortex-A710 erratum 2008768 ([af220eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af220ebbe467aa580e6b9ba554676f78ffec930f))
3864    - workaround for Cortex-A710 erratum 2136059 ([8a855bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8a855bd24329e081cf13a257c7d2dc3ab4e5dcca))
3865    - workaround for Cortex-A78 erratum 2376745 ([5d796b3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d796b3a25150faff68013880f5a9350cbc53889))
3866    - workaround for Cortex-A78 erratum 2395406 ([3b577ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b577ed53d104cfb324390b7519da5e7744d1001))
3867    - workaround for Cortex-X2 errata 2017096 ([e7ca443](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e7ca4433fa591233e7e2912b689ab56e531f9775))
3868    - workaround for Cortex-X2 errata 2081180 ([c060b53](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c060b5337a43cd42f55b99d83096bb44b51b5335))
3869    - workaround for Cortex-X2 erratum 2147715 ([63446c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/63446c27d11453faacfddecffa44d3880615d412))
3870    - workaround for Cortex-X2 erratum 2216384 ([4dff759](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4dff7594f94f1e788aef709cc5b3d079693b6242))
3871    - workaround for DSU-110 erratum 2313941 ([7e3273e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e3273e8e4dca44e7cb88a827b94e662fa8f83e9))
3872    - workaround for Rainier erratum 1868343 ([a72144f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a72144fb7a30c2782a583a3b0064e741d1fe2c9f))
3873    - workarounds for cortex-x1 errata ([7b76c20](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7b76c20d8eb4271b381371ce0d510fbe6ad825bf))
3874    - use CPU_NO_EXTRA3_FUNC for all variants ([b2ed998](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b2ed99894d326993961680fb8e786c267a712400))
3875
3876  - **EL3 Runtime**
3877
3878    - set unset pstate bits to default ([7d33ffe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d33ffe4c116506ed63e820d5b6edad81680cd11))
3879
3880    - **Context Management**
3881
3882      - add barrier before el3 ns exit ([0482503](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/04825031b2384a08504821f39e98e23bb6f93f11))
3883      - remove registers accessible only from secure state from EL2 context ([7f41bcc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f41bcc76d8857b4678c90796ebd85794ff3ee5f))
3884      - refactor the cm_setup_context function ([2bbad1d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2bbad1d126248435e26f9d0d9f5920d8806148d7))
3885      - remove initialization of EL2 registers when EL2 is used ([fd5da7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fd5da7a84731e9687f56c263ff3aa8ebed75075a))
3886      - add cm_prepare_el3_exit_ns function ([8b95e84](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8b95e8487006ff77a7d84fba5bd20ba7e68d8330))
3887      - refactor initialization of EL1 context registers ([b515f54](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b515f5414b00a8b7ca9b21363886ea976bd19914))
3888
3889  - **FCONF**
3890
3891    - correct image_id type in messages ([cec2fb2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cec2fb2b1a8359bf1f349a5b8c8a91a1845f4ca1))
3892
3893  - **PSCI**
3894
3895    - correct parent_node type in messages ([b9338ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b9338eee7fbcac7f4b55f27b064572e847810422))
3896
3897  - **GPT**
3898
3899    - rework delegating/undelegating sequence ([6a00e9b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6a00e9b0c8c37fc446f83ef63e95a75353e31e8b))
3900
3901  - **Translation Tables**
3902
3903    - fix bug on VERBOSE trace ([956d76f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/956d76f69d0c96829784c5a6d16aa79e4e0ecab1))
3904
3905  - **Standard C Library**
3906
3907    - correct some messages ([a211fde](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a211fde940d4dbd8e95e4f352af2a066a4f89f30))
3908    - fix snprintf corner cases ([c1f5a09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c1f5a0925ddf84981d9e176d146bfddb48eb45d1))
3909    - limit snprintf radix value ([b30dd40](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b30dd4030dcef950eac05393013ee019c3cb3205))
3910    - snprintf: include stdint.h ([410c925](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/410c925ab31693dc74d654ff9167c8eed3ec5a62))
3911
3912  - **Locks**
3913
3914    - add __unused for clang ([5a030ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a030ce4aed271344087bca723903e10fef59ac9))
3915
3916- **Drivers**
3917
3918  - **FWU**
3919
3920    - rename is_fwu_initialized ([aae7c96](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/aae7c96de63914c954f0fc64cd795844832483fc))
3921
3922  - **I/O**
3923
3924    - **MTD**
3925
3926      - correct types in messages ([6e86b46](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e86b462490429fee6db877338a649b0e199b0ec))
3927
3928  - **Measured Boot**
3929
3930    - add RMM entry to event_log_metadata ([f4e3e1e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4e3e1e85f64d8930e89c1396bc9785512f656bd))
3931
3932  - **MTD**
3933
3934    - correct types in messages ([6e86b46](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6e86b462490429fee6db877338a649b0e199b0ec))
3935
3936  - **SCMI**
3937
3938    - add missing \n in ERROR message ([0dc9f52](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0dc9f52a2a9f0b9686c65dd60c84e0bcca552144))
3939    - make msg_header variable volatile ([99477f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99477f051ef857a1e0600cb98858fc74c007e1ff))
3940    - use same type for message_id ([2355ebf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2355ebff6f6312086868f44b8ad7f821f6385208))
3941
3942  - **UFS**
3943
3944    - delete call to inv_dcache_range for utrd ([c5ee858](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c5ee8588bf9a36075723e5aacceefa93fd2de8c9))
3945    - disables controller if enabled ([b3f03b2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b3f03b20135fc5fcd5e6ec7e5ca49f1e59b5602e))
3946    - don't zero out buf before ufs read ([2ef6b8d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2ef6b8d378e7f7c1b1eb7abe176989c3f996f2dc))
3947    - don't zero out the write buffer ([cd3ea90](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd3ea90b200534b8c9d81619731c9ce198478a3c))
3948    - fix cache maintenance issues ([38a5ecb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/38a5ecb756e217a80ed951747797ab150449ee9b))
3949    - move nutrs assignment to ufs_init ([0956319](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0956319b580726029ddc4e00cde6c5a348b99052))
3950    - read and write attribute based on spec ([a475518](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a475518337e15935469543b1cce353e5b337ef52))
3951
3952  - **Arm**
3953
3954    - **GIC**
3955
3956      - **GICv3**
3957
3958        - fix iroute value wrong issue ([65bc2d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/65bc2d224b836c230888796c4eda455997dccd8b))
3959
3960    - **TZC**
3961
3962      - **TZC-400**
3963
3964        - correct message with filter ([bdc88d2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bdc88d2154448957f452cb472ff95ccec5808ca1))
3965
3966  - **Marvell**
3967
3968    - **COMPHY**
3969
3970      - change reg_set() / reg_set16() to update semantics ([95c26d6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/95c26d6489bd8b2fc8b8e14bc2da5d2918055acc))
3971
3972      - **Armada 3700**
3973
3974        - drop MODE_REFDIV constant ([9fdecc7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9fdecc72f0fce17ca2cd8e4c3b26c01262166d10))
3975        - fix comment about COMPHY status register ([4bcfd8c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4bcfd8c02e3e3aa27b55dedeed11fb16bac991a9))
3976        - fix comments about selector register values ([71183ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/71183ef6654c2a485458307a84ce7c473524689a))
3977        - fix Generation Setting registers names ([e5a2aac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e5a2aac5bbc6dedb20edcc8e7850be2813cb668b))
3978        - fix PIN_PU_IVREF register name ([c9f138e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c9f138ebfef90d5b7b5651f06efd81bcbc55366b))
3979        - fix reference clock selection value names ([6ba97f8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ba97f83dbb314b076588b97415a4078924e1903))
3980        - fix SerDes frequency register value name ([bdcf44f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bdcf44f1af496e06b693b781fe16bbc2a05fa365))
3981        - use reg_set() according to update semantics ([4d01bfe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d01bfe66522b13f0d9042206e986551c94fc01e))
3982
3983    - **Armada**
3984
3985      - **A3K**
3986
3987        - **A3720**
3988
3989          - configure UART after TX FIFO reset ([15546db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15546dbf40e5ea81a982a1e6d1e5ba729b06ae51))
3990          - do external reset during initialization ([0ee80f3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ee80f35a28d651d243a6d56678800f9697d14c0))
3991
3992  - **NXP**
3993
3994    - ddr: corrects mapping of HNFs nodes ([e3a2349](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e3a234971abb2402cbf376eca6fcb657a7709fae))
3995
3996    - **QSPI**
3997
3998      - fix include path for QSPI driver ([ae95b17](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ae95b1782b7a3ab9bbe46ae9ab31f48fb6ebe137))
3999
4000    - **NXP Crypto**
4001
4002      - refine code to avoid hang issue for some of toolchain ([fa7fdfa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa7fdfabf07d91439b0869ffd8e805f0166294bf))
4003
4004    - **DDR**
4005
4006      - fix coverity issue ([f713e59](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f713e5954e0906443cd20ae97e229ddbb9ab7005))
4007
4008  - **ST**
4009
4010    - **Clock**
4011
4012      - check _clk_stm32_get_parent return ([b8eab51](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b8eab512bf9d253f96b0333ee0f1bffa1afc3170))
4013      - correct stm32_clk_parse_fdt_by_name ([7417cda](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7417cda6aeaf6abf48dfbe22dc965b626f61c613))
4014      - correct types in error messages ([44fb470](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/44fb470b7f298645ac31ada4491553824d77d934))
4015      - initialize pllcfg table ([175758b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/175758b2777eb6df3c4aefd79448e97e76a15272))
4016      - print enums as unsigned ([9fa9a0c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9fa9a0c55cc830e609415d2cedd2d34fcbec1008))
4017
4018    - **DDR**
4019
4020      - add missing debug.h ([15ca2c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15ca2c5e14abe415e70d08fb595973dd3e3b0af9))
4021      - correct DDR warnings ([a078134](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a078134e2305ca5695731bc275a5ca892cc38880))
4022
4023     - **FMC**
4024
4025      - fix type in message ([afcdc9d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afcdc9d8d71e2b60071d3d34704f0e598e67a514))
4026
4027    - **SDMMC2**
4028
4029      - check regulator enable/disable return ([d50e7a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d50e7a71cb5f8ecfbe2eb69c163d532bab82cbf0))
4030      - correct cmd_idx type in messages ([bc1c98a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc1c98a8c79b6f72395123ea8ed857a488746d4b))
4031
4032    - **ST PMIC**
4033
4034      - add static const to pmic_ops ([57e6018](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/57e6018305a97f4e3627d16d8b1886419f274b4a))
4035      - correct verbose message ([47065ff](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/47065ffe44c701b231322ec7160c8624d50a9deb))
4036
4037    - **SPI**
4038
4039      - always check SR_TCF flags in stm32_qspi_wait_cmd() ([55de583](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/55de58323e458b38b455439a8846cb663deb5508))
4040      - remove SR_BUSY bit check before sending command ([5993b91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5993b9157fd049d06194083032771ffcf73da086))
4041
4042    - **UART**
4043
4044      - correctly fill BRR register ([af7775a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/af7775ab535138ff49643f749110dca143d4122c))
4045
4046  - **USB**
4047
4048    - correct type in message ([bd9cd63](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bd9cd63ba096cb16161efa4df40f957421660df1))
4049
4050- **Miscellaneous**
4051
4052  - **AArch64**
4053
4054    - fix encodings for MPAMVPM* registers ([e926558](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e92655849d0a9e5893eb2d7e5f42cf8b931d4db6))
4055
4056  - **FDTs**
4057
4058    - **STM32MP1**
4059
4060      - correct memory mapping for STM32MP13 ([99605fb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99605fb1166794db1dedf1b7280cb184945c229c))
4061      - remove mmc1 alias if not needed ([a0e9724](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0e972438b99012da422411c8e504a19bdad44a2))
4062
4063  - **PIE**
4064
4065    - align fixup_gdt_reloc() for aarch64 ([5ecde2a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5ecde2a271ac0f3762c16f5a277a70e55e172f0b))
4066    - do not skip __RW_END__ address during relocation ([4f1a658](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4f1a658f899a169e702b1c7146b59f7c04b0338b))
4067
4068  - **Security**
4069
4070    - apply SMCCC_ARCH_WORKAROUND_3 to A73/A75/A72/A57 ([9b2510b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9b2510b69de26cc7f571731b415f6dec82669b6c))
4071    - loop workaround for CVE-2022-23960 for Cortex-A76 ([a10a5cb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a10a5cb609045de216c01111ec3fcf09a092da0b))
4072    - report CVE 2022 23960 missing for aarch32 A57 and A72 ([2e5d7a4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2e5d7a4b6b26d9d8b6c8e580c33d877e591b1fb3))
4073    - update Cortex-A15 CPU lib files for CVE-2022-23960 ([187a617](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/187a61761ef5d59bed0c94cca725bd6f116f64d0))
4074    - workaround for CVE-2022-23960 ([c2a1521](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2a15217c3053117f4d39233002cb1830fa96670))
4075    - workaround for CVE-2022-23960 ([1fe4a9d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1fe4a9d181ead0dcb2bc494e90552d3e7f0aaf4c))
4076    - workaround for CVE-2022-23960 for A76AE, A78AE, A78C ([5f802c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5f802c8832f3c5824ca6de17593205ebbf8bf585))
4077    - workaround for CVE-2022-23960 for Cortex-A57, Cortex-A72 ([be9121f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be9121fd311ff48c94f3d90fe7efcf84586119e4))
4078    - workaround for CVE-2022-23960 for Cortex-X1 ([e81e999](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e81e999b9da33ab5d2d3e5185b1ad7c46046329c))
4079
4080- **Tools**
4081
4082  - **NXP Tools**
4083
4084    - fix create_pbl print log ([31af441](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/31af441a0445d4a5e88ddcc371c51b3701c25839))
4085    - fix tool location path for byte_swape ([a89412a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a89412a649020367a3ed0f87658ee131cd3dcd18))
4086
4087  - **Firmware Image Package Tool**
4088
4089    - avoid packing the zero size images in the FIP ([ab556c9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ab556c9c646f1b5f1b500449a5813a4eecdc0302))
4090    - respect OPENSSL_DIR ([0a956f8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0a956f81805b46b1530f30dd79d16950dc491a7b)
4091
4092  - **Secure Partition Tool**
4093
4094    - add leading zeroes in UUID conversion ([b06344a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b06344a3f2c5a0fede3646627f37d1fce3d3d585))
4095    - update Optee FF-A manifest ([ca0fdbd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca0fdbd8e0d625ece0f87ca16eacabf13db70921))
4096
4097  - **Certificate Creation Tool**
4098
4099    - let distclean Makefile target remove the cert_create tool ([e15591a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e15591aaf47ab45941f0d7a03abf3e4a830ac1d9))
4100
4101- **Dependencies**
4102
4103  - **commitlint**
4104
4105    - change scope-case to lower-case ([804e52e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/804e52e9a770de72913f27b5bc9e7dd965e114c5))
4106
4107## [2.6.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.5..refs/tags/v2.6) (2021-11-22)
4108
4109### ⚠ BREAKING CHANGES
4110
4111- **Architecture**
4112
4113  - **Activity Monitors Extension (FEAT_AMU)**
4114
4115    - The public AMU API has been reduced to enablement only
4116      to facilitate refactoring work. These APIs were not previously used.
4117
4118      **See:** privatize unused AMU APIs ([b4b726e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b4b726ea868359cf683c07337b69fe91a2a6929a))
4119
4120    - The `PLAT_AMU_GROUP1_COUNTERS_MASK` platform definition
4121      has been removed. Platforms should specify per-core AMU counter masks
4122      via FCONF or a platform-specific mechanism going forward.
4123
4124      **See:** remove `PLAT_AMU_GROUP1_COUNTERS_MASK` ([6c8dda1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c8dda19e5f484f8544365fd71d965f0afc39244))
4125
4126- **Libraries**
4127
4128  - **FCONF**
4129
4130    - FCONF is no longer added to BL1 and BL2 automatically
4131      when the FCONF Makefile (`fconf.mk`) is included. When including this
4132      Makefile, consider whether you need to add `${FCONF_SOURCES}` and
4133      `${FCONF_DYN_SOURCES}` to `BL1_SOURCES` and `BL2_SOURCES`.
4134
4135      **See:** clean up source collection ([e04da4c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e04da4c8e132f43218f18ad3b41479ca54bb9263))
4136
4137- **Drivers**
4138
4139  - **Arm**
4140
4141    - **Ethos-N**
4142
4143      - multi-device support
4144
4145        **See:** multi-device support ([1c65989](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1c65989e70c9734defc666e824628620b2060b92))
4146
4147### New Features
4148
4149- **Architecture**
4150
4151  - **Activity Monitors Extension (FEAT_AMU)**
4152
4153    - enable per-core AMU auxiliary counters ([742ca23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/742ca2307f4e9f82cb2c21518819425e5bcc0f90))
4154
4155  - **Support for the `HCRX_EL2` register (FEAT_HCX)**
4156
4157    - add build option to enable FEAT_HCX ([cb4ec47](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cb4ec47b5c73e04472984acf821e6be41b98064f))
4158
4159  - **Scalable Matrix Extension (FEAT_SME)**
4160
4161    - enable SME functionality ([dc78e62](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dc78e62d80e64bf4fe5d5bf4844a7bd1696b7c92))
4162
4163  - **Scalable Vector Extension (FEAT_SVE)**
4164
4165    - enable SVE for the secure world ([0c5e7d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c5e7d1ce376cabcebebc43dbf238fe4482ab2dc))
4166
4167  - **System Register Trace Extensions (FEAT_ETMv4, FEAT_ETE and FEAT_ETEv1.1)**
4168
4169    - enable trace system registers access from lower NS ELs ([d4582d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d4582d30885673987240cf01fd4f5d2e6780e84c))
4170    - initialize trap settings of trace system registers access ([2031d61](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2031d6166a58623ae59034bc2353fcd2fabe9c30))
4171
4172  - **Trace Buffer Extension (FEAT_TRBE)**
4173
4174    - enable access to trace buffer control registers from lower NS EL ([813524e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/813524ea9d2e4138246b8f77a772299e52fb33bc))
4175    - initialize trap settings of trace buffer control registers access ([40ff907](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/40ff90747098ed9d2a09894d1a886c10ca76cee6))
4176
4177  - **Self-hosted Trace Extension (FEAT_TRF)**
4178
4179    - enable trace filter control register access from lower NS EL ([8fcd3d9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8fcd3d9600bb2cb6809c6fc68f945ce3ad89633d))
4180    - initialize trap settings of trace filter control registers access ([5de20ec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5de20ece38f782c8459f546a08c6a97b9e0f5bc5))
4181
4182  - **RME**
4183
4184    - add context management changes for FEAT_RME ([c5ea4f8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c5ea4f8a6679131010636eb524d2a15b709d0196))
4185    - add ENABLE_RME build option and support for RMM image ([5b18de0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b18de09e80f87963df9a2e451c47e2321b8643a))
4186    - add GPT Library ([1839012](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1839012d5b5d431f7ec307230eae9890a5fe7477))
4187    - add Realm security state definition ([4693ff7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4693ff7225faadc5ad1bcd1c2fb3fbbb8fe1aed0))
4188    - add register definitions and helper functions for FEAT_RME ([81c272b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/81c272b3b71af38bc5cfb10bbe5722e328a1578e))
4189    - add RMM dispatcher (RMMD) ([77c2775](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77c2775323a5ff8b77230f05c0cc57f830e9f153))
4190    - add Test Realm Payload (TRP) ([50a3056](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/50a3056a3cd33d395e8712e1d1e67a8840bf3db1))
4191    - add xlat table library changes for FEAT_RME ([3621823](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/362182386bafbda9e6671be921fa30cc20610d30))
4192    - disable Watchdog for Arm platforms if FEAT_RME enabled ([07e96d1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/07e96d1d2958b6f121476fd391ac67bf8c2c4735))
4193    - run BL2 in root world when FEAT_RME is enabled ([6c09af9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c09af9f8b36cdfa1dc4d5052f7e4792f63fa88a))
4194
4195- **Platforms**
4196
4197  - **Allwinner**
4198
4199    - add R329 support ([13bacd3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/13bacd3bc3e6b76009adf9183e5396b6457eb12c))
4200
4201  - **Arm**
4202
4203    - add FWU support in Arm platforms ([2f1177b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2f1177b2b9ebec3b2fe92607cd771bda1dc9cbfc))
4204    - add GPT initialization code for Arm platforms ([deb4b3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/deb4b3a63e3a52f2e9823865a1932f6289ccb7ac))
4205    - add GPT parser support ([ef1daa4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef1daa420f7b2920b2ee35379de2aefed6ab2605))
4206    - enable PIE when RESET_TO_SP_MIN=1 ([7285fd5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7285fd5f9aa6d9cc0e0f1dc9c71785b46a88d999))
4207
4208    - **FPGA**
4209
4210      - add ITS autodetection ([d7e39c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d7e39c43f2f58aabb085ed7b8f461f9ece6002d0))
4211      - add kernel trampoline ([de9fdb9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/de9fdb9b5925ae08137d4212a85e9a1d319509c9))
4212      - determine GICR base by probing ([93b785f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/93b785f5ae66a6418581c304c83a346e8baa5aa3))
4213      - query PL011 to learn system frequency ([d850169](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d850169c9c233c4bc413d8319196557b54683688))
4214      - support GICv4 images ([c69f815](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c69f815b09ab85d3ace8fd2979ffafb1184ec76c))
4215      - write UART baud base clock frequency into DTB ([422b44f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/422b44fb56db7ca8b1a2f9f706733d7d4c2fdeb1))
4216
4217    - **FVP**
4218
4219      - enable external SP images in BL2 config ([33993a3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/33993a3737737a03ee5a9d386d0a027bdc947c9c))
4220      - add memory map for FVP platform for FEAT_RME ([c872072](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c8720729726faffc39ec64f3a02440a48c8c305a))
4221      - add RMM image support for FVP platform ([9d870b7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d870b79c16ef09b0c4a9db18e071c2fa235d1ad))
4222      - enable trace extension features by default ([cd3f0ae](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd3f0ae6f855b2998bc09e5c3a458528c92acb90))
4223      - pass Event Log addr and size from BL1 to BL2 ([0500f44](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0500f4479eb1d0d5ab9e83dac42b633a5ff677dd))
4224
4225    - **FVP-R**
4226
4227      - support for TB-R has been added
4228      - configure system registers to boot rich OS ([28bbbf3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28bbbf3bf583e0c85004727e694455dfcabd50a4))
4229
4230    - **RD**
4231
4232      - **RD-N2**
4233
4234        - add support for variant 1 of rd-n2 platform ([fe5d5bb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fe5d5bbfe6bd0f386f92bdc419a7e04d885d5b43))
4235        - add tzc master source ids for soc dma ([3139270](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3139270693ab0fc6d66fed4fe11e183829b47e2e))
4236
4237    - **SGI**
4238
4239      - add CPU specific handler for Neoverse N2 ([d932a58](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d932a5831e26620d61d171d0fd8bc2f14938e6f1))
4240      - add CPU specific handler for Neoverse V1 ([cbee43e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cbee43ebd69377bce1c4fa8d40c6fd67f2be2ee4))
4241      - increase max BL2 size ([7186a29](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7186a29bbfe3044d5e8001ddfe1d9238578e0944))
4242      - enable AMU for RD-V1-MC ([e8b119e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8b119e03ad9de5fc440e5929287c94c22fc3946))
4243      - enable use of PSCI extended state ID format ([7bd64c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7bd64c70e91f73a236b84fb51d5045e308479b5a))
4244      - introduce platform variant build option ([cfe1506](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cfe1506ee8303d9e0714b3a5b2cd165f76ad5d11))
4245
4246    - **TC**
4247
4248      - enable MPMM ([c19a82b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c19a82bef08df58350f1b6668e0604ff8a5bd46d))
4249      - Enable SVE for both secure and non-secure world ([10198ea](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10198eab3aa7b0eeba10d9667197816b052ba3e4))
4250      - populate HW_CONFIG in BL31 ([34a87d7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34a87d74d9fbbe8037431ea5101110a9f1cf30e1))
4251      - introduce TC1 platform ([6ec0c65](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6ec0c65b09745fd0f4cee44ee3aa99870303f448))
4252      - add DRAM2 to TZC non-secure region ([76b4a6b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/76b4a6bb208c22b1c5971964a209ff7d54982348))
4253
4254      - add bootargs node ([4a840f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4a840f27cd7a05d8e3687aa325adcd019c0d22ee))
4255      - add cpu capacity to provide scheduling information ([309f593](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/309f5938e610c73cb51b3ba175fed971f49d0888))
4256      - add Ivy partition ([a19bd32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a19bd32ed14c33571f3715198d47bac9d0f2808e))
4257      - add support for trusted services ([ca93248](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca9324819ee308f9b3a4bb004f02a512c8f301f6))
4258      - update Matterhorn ELP DVFS clock index ([a2f6294](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2f6294c98935895d4592ef7e30058ca6e995f4b))
4259      - update mhuv2 dts node to align with upstream driver ([63067ce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/63067ce87e4afa193b2c7f6a4917d1e54b61b000))
4260
4261    - **Diphda**
4262
4263      - adding the diphda platform ([bf3ce99](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf3ce9937182e5d8d91e058baabb8213acedacdb))
4264      - disabling non volatile counters in diphda ([7f70cd2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f70cd29235cc5e96ff6b5f509c7e4260bec5610))
4265      - enabling stack protector for diphda ([c7e4f1c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c7e4f1cfb84136a7521f26e403a6635ffdce4a2b))
4266
4267  - **Marvell**
4268
4269    - introduce t9130_cex7_eval ([d01139f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d01139f3b59a1bc6542e74f52ff3fb26eea23c69))
4270
4271    - **Armada**
4272
4273      - **A8K**
4274
4275        - allow overriding default paths ([0b702af](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0b702afc3aabc349a513a5b00397b58a62fea634))
4276
4277  - **MediaTek**
4278
4279    - enable software reset for CIRQ ([b3b162f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b3b162f3b48e087f6656513862a6f9e1fa0757b1))
4280
4281    - **MT8192**
4282
4283      - add DFD control in SiP service ([5183e63](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5183e637a0496ad8dfbd8c892bc874ac6a1531bf))
4284
4285    - **MT8195**
4286
4287      - add DFD control in SiP service ([3b994a7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3b994a75306cc487144dd8e2e15433799e62e6f2))
4288      - add display port control in SiP service ([7eb4223](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7eb42237575eb3f241c9b22efc5fe91368470aa6))
4289      - remove adsp event from wakeup source ([c260b32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c260b3246b6be27c7463d36ce7f76368c94a8540))
4290      - add DCM driver ([49d3bd8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49d3bd8c4c80ecd19ecfd74812ff1eaa01478cdd))
4291      - add EMI MPU basic drivers ([75edd34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/75edd34ade8efaa8a76c5fd59103454023632989))
4292      - add SPM suspend driver ([859e346](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/859e346b89461f31df17b76ef25ce9e8d2a7279d))
4293      - add support for PTP3 ([0481896](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/048189637ead887787bd5bc47b1dfab98f321705))
4294      - add vcore-dvfs support ([d562130](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d562130ea9637b885135a5efe41cb98f2365754f))
4295      - support MCUSYS off when system suspend ([d336e09](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d336e093dd9ec917ce69484eae8914d98efa328d))
4296
4297  - **NXP**
4298
4299    - add build macro for BOOT_MODE validation checking ([cd1280e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cd1280ea2e5c8be6f28485a2d5054d06e54e74c1))
4300    - add CCI and EPU address definition ([6cad59c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6cad59c429b4382ad62aee3a67fa1b3fd4ad38b7))
4301    - add EESR register definition ([8bfb168](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8bfb16813aff9b3dcbeaa2f77027d44b97f04b6d))
4302    - add SecMon register definition for ch_3_2 ([66f7884](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66f7884b5229b1d2977d73d105af1c34cb55f95d))
4303    - define common macro for ARM registers ([35efe7a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/35efe7a4cea4b3c55b661aac49ef1a85ca8feaa9))
4304    - define default PSCI features if not defined ([a204785](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2047853224083328ef67cacbc17a2001ba14701))
4305    - define default SD buffer ([4225ce8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4225ce8b87635287ecf5cd3baaf31ea703a2640b))
4306
4307    - **i.MX**
4308
4309      - **i.MX 8M**
4310
4311        - add sdei support for i.MX8MN ([ce2be32](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce2be321e8a5865871810b36c580181ea95a1a64))
4312        - add sdei support for i.MX8MP ([6b63125](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b63125c415491417e1c389e4015be5ebdee2841))
4313        - add SiP call for secondary boot ([9ce232f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ce232fe985a0bb308af459ede8a22629255d4e7))
4314        - add system_reset2 implementation ([60a0dde](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/60a0dde91bd03f4011c1d52d4d3aea8166e939a0))
4315
4316        - **i.MX 8M Mini**
4317
4318          - enlarge BL33 (U-boot) size in FIP ([d53c9db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d53c9dbf9ff9c435552b62f47fb95bfe86d025e3))
4319
4320        - **i.MX 8M Plus**
4321
4322          - add imx8mp_private.h to the build ([91566d6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/91566d663b26434813fa674412bb695be1965557))
4323          - add in BL2 with FIP ([75fbf55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/75fbf5546b7beca93e4782bc35906f9536392e04))
4324          - add initial definition to facilitate FIP layout ([f696843](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f696843eab5cf0547b6c6307eaccea25678654c4))
4325          - enable Trusted Boot ([a16ecd2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a16ecd2cff36b3a8a76d223f4e272e165c941b31))
4326
4327    - **Layerscape**
4328
4329      - add ls1028a soc and board support ([52a1e9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/52a1e9ff37251987b71b743951038cd8d1fa0ba4))
4330
4331      - **LX2**
4332
4333        - add SUPPORTED_BOOT_MODE definition ([28b3221](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/28b3221aebdd48577e2288a75cd2f7547da514e9))
4334
4335        - **LS1028A**
4336
4337          - add ls1028a soc support ([9d250f0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d250f03d7a38cac86655495879b2151b877db0d))
4338
4339          - **LS1028ARDB**
4340
4341            - add ls1028ardb board support ([34e2112](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34e2112d1a3a8e4ea33a24bdc6505518266333a9))
4342
4343  - **QTI**
4344
4345    - **SC7280**
4346
4347      - add support for pmk7325 ([b8a0511](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b8a05116ed2a87a9689c4f9be6218a4bce88034a))
4348      - support for qti sc7280 plat ([46ee50e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/46ee50e0b34e19d383a28bc3b3dadbfb4c07b270))
4349
4350  - **Renesas**
4351
4352    - **R-Car**
4353
4354      - change process for Suspend To RAM ([731aa26](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/731aa26f38d76645b6d50077c28dffb9b02dd08a))
4355
4356      - **R-Car 3**
4357
4358        - add a DRAM size setting for M3N ([f95d551](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f95d551217a287bd909aa3c82f4ade4986ad7244))
4359        - add new board revision for Salvator-XS/H3ULCB ([4379a3e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4379a3e9744cf3b0844446335aca40357a889b9a))
4360        - add optional support for gzip-compressed BL33 ([ddf2ca0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ddf2ca03979ea9fad305b1bc59beb6e27f0e1c02))
4361        - add process of SSCG setting for R-Car D3 ([14f0a08](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/14f0a0817297905c03ddf2c4c6040482ef71d744))
4362        - add process to back up X6 and X7 register's value ([7d58aed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d58aed3b05fa8c677a7c823c1ca5017a462a3d3))
4363        - add SYSCEXTMASK bit set/clear in scu_power_up ([63a7a34](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/63a7a34706eedba4d13ce6fc661a634801cf8909))
4364        - apply ERRATA_A53_1530924 and ERRATA_A57_1319537 ([2892fed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2892fedaf27d8bbc68780a4a2c506c768e81b9f1))
4365        - change the memory map for OP-TEE ([a4d821a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4d821a5a625d941f95ec39fb51ac4fc07c46c5c))
4366        - emit RPC status to DT fragment if RPC unlocked ([12c75c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12c75c8886a0ee69d7e279a48cbeb8d1602826b3))
4367        - keep RWDT enabled ([8991086](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/899108601a0c3b08ead5e686d92ea0794700ff35))
4368        - modify LifeC register setting for R-Car D3 ([5460f82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5460f82806752e419fdd6862e8ca9c5fefbee3f2))
4369        - modify operation register from SYSCISR to SYSCISCR ([d10f876](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d10f87674ecee54cffe1ab554cc05733fd16c7f0))
4370        - modify SWDT counter setting for R-Car D3 ([053c134](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/053c134683cf74fbf4efad311815b806821f1436))
4371        - remove access to RMSTPCRn registers in R-Car D3 ([71f2239](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/71f2239f53cd3137ad6abdaf0334dc53f2f21cb1))
4372        - update DDR setting for R-Car D3 ([042d710](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/042d710d1d917357c5142b340c79978264d3afb1))
4373        - update IPL and Secure Monitor Rev.3.0.0 ([c5f5bb1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c5f5bb17abfcf6c0eeb3e6c3d70499de0bd6abc0))
4374        - use PRR cut to determine DRAM size on M3 ([42ffd27](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/42ffd279dd1a686b19e2f1b69d2e35413d5efeba))
4375
4376  - **ST**
4377
4378    - add a new DDR firewall management ([4584e01](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4584e01dc643665038004f6c8a4f8bd64e14dacb))
4379    - add a USB DFU stack ([efbd65f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/efbd65fa7b5cf70f20d6b18152741ccdf8a65bb6))
4380    - add helper to save boot interface ([7e87ba2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e87ba2598a07facdeb73237dcb350a261ac17b6))
4381    - add STM32CubeProgrammer support on USB ([afad521](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/afad5214a79259f56bc2003b00859abfe8a18d4d))
4382    - add STM32MP_EMMC_BOOT option ([214c8a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/214c8a8d08b2b3c24f12cbc69f497f44851ca524))
4383    - create new helper for DT access ([ea97bbf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ea97bbf6a001b270fd0a25b4b0d0c382e277f3f8))
4384    - implement platform functions for SMCCC_ARCH_SOC_ID ([3d20178](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3d201787e8246022b1f193283c12e7cb4bfc83ff))
4385    - improve FIP image loading from MMC ([18b415b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/18b415be9d631b3e0c3a3caacc5f02edb9413f6b))
4386    - manage io_policies with FCONF ([d5a84ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d5a84eeaac2c8ce14d3f2662dc9523b4abf41516))
4387    - use FCONF to configure platform ([29332bc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/29332bcd680ce7e5f864813d9a900360f5e35d41))
4388    - use FIP to load images ([1d204ee](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1d204ee4ab12893fceb12097bd4f0a074be253b2))
4389
4390    - **ST32MP1**
4391
4392      - add STM32MP_USB_PROGRAMMER target ([fa92fef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa92fef0a024cdb537fe56c84a0156cc48c1ac2d))
4393      - add USB DFU support for STM32MP1 ([942f6be](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/942f6be211d4816ad2568d30d807b8fd53d7f981))
4394
4395  - **Xilinx**
4396
4397    - **Versal**
4398
4399      - add support for SLS mitigation ([302b4df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/302b4dfb8fb0041959b8593a098ccae6c61e3238))
4400
4401    - **ZynqMP**
4402
4403      - add support for runtime feature config ([578f468](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/578f468ac058bbb60b08f78e2aa2c20cdc601620))
4404      - sync IOCTL IDs ([38c0b25](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/38c0b2521a0ea0951f4e1ee678ccdbce5fc07a98))
4405      - add SDEI support ([4143268](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4143268a5ca8f91f1014e0d83edf766946ffff76))
4406      - add support for XCK26 silicon ([7a30e08](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7a30e08b70e7fbb745554d500182bb6e258c5ab8))
4407      - extend DT description by TF-A ([0a8143d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0a8143dd636d4234dd2e79d32cb49dc80675c68f))
4408
4409- **Bootloader Images**
4410
4411  - import BL_NOBITS_{BASE,END} when defined ([9aedca0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9aedca021d917c7435aa2a0405972aa9d44493a2))
4412
4413- **Services**
4414
4415  - **FF-A**
4416
4417    - adding notifications SMC IDs ([fc3f480](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fc3f480023e3a52460add25f18dd550dde44d9ff))
4418    - change manifest messaging method ([bb320db](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb320dbc4751f7ea0c37ffba07d14628e58081d0))
4419    - feature retrieval through FFA_FEATURES call ([96b71eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/96b71eb9597efbf4857216cac1caeefc9e8bbf3e))
4420    - update FF-A version to v1.1 ([e1c732d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e1c732d46fa91231b39209621ead1e5a5fb2c497))
4421    - add Ivy partition to tb fw config ([1bc02c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1bc02c2e0f63b6a7863e10cf6189292d42e693db))
4422    - add support for FFA_SPM_ID_GET ([70c121a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/70c121a258e43dc2462ed528b44d92594ffb27b3))
4423    - route secure interrupts to SPMC ([8cb99c3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8cb99c3fc3539bb9926e73a1c33fd72f424fc453))
4424
4425- **Libraries**
4426
4427  - **CPU Support**
4428
4429    - add support for Hayes CPU ([7bd8dfb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7bd8dfb85a8bf5c22d6a39f4538b89cc748090d1))
4430    - add support for Hunter CPU ([fb9e5f7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb9e5f7bb76e9764b3ecd7973668c851015fa1b4))
4431    - add support for Demeter CPU ([f4616ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f4616efafbc1004f1330f515b898e7617e338875))
4432    - workaround for Cortex A78 AE erratum 1941500 ([47d6f5f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/47d6f5ff16d1f2ad009d630a381054b10fa0a06f))
4433    - workaround for Cortex A78 AE erratum 1951502 ([8913047](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8913047a52e646877812617a2d98cff99494487b))
4434
4435  - **MPMM**
4436
4437    - add support for MPMM ([6812078](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68120783d6d6f99c605e9f746ee0e91e2908feb1))
4438
4439  - **OP-TEE**
4440
4441    - introduce optee_header_is_valid() ([b84a850](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b84a850864c05fef587fcbb301f955428966de64))
4442
4443  - **PSCI**
4444
4445    - require validate_power_state to expose CPU_SUSPEND ([a1d5ac6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a1d5ac6a5aa5d9d18a481de20d272f64a71391f7))
4446
4447  - **SMCCC**
4448
4449    - add bit definition for SMCCC_ARCH_SOC_ID ([96b0596](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/96b0596ea25e1f03b862a5bfaa92add6c3e51a33))
4450
4451- **Drivers**
4452
4453  - **FWU**
4454
4455    - add FWU metadata header and build options ([5357f83](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5357f83d4ee89fb831d7e4f6149ae2f652e1b9af))
4456    - add FWU driver ([0ec3ac6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0ec3ac60d86b75d132e7a63fc09ea47e67f90bbd))
4457    - avoid booting with an alternate boot source ([4b48f7b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b48f7b56577a78cdc9a2b47280cb62cbae0f7c3))
4458    - avoid NV counter upgrade in trial run state ([c0bfc88](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0bfc88f8e8e03974834cbcacbbfbd5f202a2857))
4459    - initialize FWU driver in BL2 ([396b339](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/396b339dc20b97ddd75146e03467a255e28f31b9))
4460    - introduce FWU platform-specific functions declarations ([efb2ced](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/efb2ced256dacbab71ca11cbc87f70f413ca6729))
4461
4462  - **I/O**
4463
4464    - **MTD**
4465
4466      - offset management for FIP usage ([9a9ea82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a9ea82948fd2f1459b6351cb0641f3f77b4e6de))
4467
4468  - **Measured Boot**
4469
4470    - add documentation to build and run PoC ([a125c55](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a125c556230501ee0f5ec9f8b0b721625d484a41))
4471    - move init and teardown functions to platform layer ([47bf3ac](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/47bf3ac31ec84d4b221fdef760c04b5f4416cba4))
4472    - image hash measurement and recording in BL1 ([48ba034](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/48ba0345f7b42880ec4442d7e90e3e1af95feadd))
4473    - update tb_fw_config with event log properties ([e742bcd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e742bcdae0d28dc14a2aa0b4ca30f50420bb5ebe))
4474
4475  - **MMC**
4476
4477    - boot partition read support ([5014b52](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5014b52dec0c2527ca85c0fbe9c9281a24cc7b10))
4478
4479  - **MTD**
4480
4481    - **NAND**
4482
4483      - count bad blocks before a given offset ([bc3eebb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc3eebb25d5ee340e56047d0e46b81d5af85ff17))
4484
4485  - **SCMI**
4486
4487    - add power domain protocol ([7e4833c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7e4833cdde8235d228f1f1c40f52b989ad5aa98a))
4488
4489  - **Arm**
4490
4491    - **Ethos-N**
4492
4493      - multi-device support ([1c65989](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1c65989e70c9734defc666e824628620b2060b92))
4494
4495    - **GIC**
4496
4497      - **GICv3**
4498
4499        - detect GICv4 feature at runtime ([858f40e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/858f40e379684fefc8b52c7b9e60576bc3794a69))
4500        - introduce GIC component identification ([73a643e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/73a643eed9d88910a09ca666bc7ab7f5e532324e))
4501        - multichip: detect GIC-700 at runtime ([feb7081](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/feb7081863f454b9e465efc074ca669f7a4c783d))
4502
4503        - **GIC-600AE**
4504
4505          - introduce support for Fault Management Unit ([2c248ad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c248ade2e958eed33127b4ea767fbb7499f31a7))
4506
4507    - **TZC**
4508
4509      - **TZC-400**
4510
4511        - update filters by region ([ce7ef9d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ce7ef9d146ce5ca6b9be5ef049377b3817d53d10))
4512
4513  - **MediaTek**
4514
4515    - **APU**
4516
4517      - add mt8192 APU device apc driver ([f46e1f1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f46e1f18539d6d992c82ae605c2cd2a1d0757fa4))
4518      - add mt8192 APU iommap regions ([2671f31](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2671f3187249d641c55929c812d6691aeeff502a))
4519      - add mt8192 APU SiP call support ([ca4c0c2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ca4c0c2e78eb19d442de4608d9096a755b540a37))
4520      - setup mt8192 APU_S_S_4 and APU_S_S_5 permission ([77b6801](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77b6801966d203e09ca118fad42543e934d73e6f))
4521
4522    - **EMI MPU**
4523
4524      - add MPU support for DSP ([6c4973b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c4973b0a9a75aa83233b696c97d573426eebd98))
4525
4526  - **NXP**
4527
4528    - **DCFG**
4529
4530      - define RSTCR_RESET_REQ ([6c5d140](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6c5d140ed99cfec47b239acc242c0f3db1e3bf7c))
4531
4532    - **FLEXSPI**
4533
4534      - add MT35XU02G flash info ([a4f5015](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4f5015a0080134251e9272719f5dad1ce2aa842))
4535
4536  - **Renesas**
4537
4538    - **R-Car3**
4539
4540      - add extra offset if booting B-side ([993d809](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/993d809cc115ce23dd2df1df19dc8bb548cc19cd))
4541      - add function to judge a DDR rank ([726050b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/726050b8e2d2ee2234e103e2df55f9c7f262c851))
4542
4543  - **ST**
4544
4545    - manage boot part in io_mmc ([f3d2750](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f3d2750aa2293c0279bc447a85771827ca8b74c1))
4546
4547    - **USB**
4548
4549      - add device driver for STM32MP1 ([9a138eb](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9a138eb5f29f6747e181a1b3b4199ad57721a3e0))
4550
4551  - **USB**
4552
4553    - add a USB device stack ([859bfd8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/859bfd8d42341c6dea2b193db79dc4828e074ad7))
4554
4555- **Miscellaneous**
4556
4557  - **Debug**
4558
4559    - add new macro ERROR_NL() to print just a newline ([fd1360a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fd1360a339e84ccd49f8a2d8a42e4c131a681b3c))
4560
4561  - **CRC32**
4562
4563    - **Hardware CRC32**
4564
4565      - add support for HW computed CRC ([a1cedad](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a1cedadf73863ff103fecd64fa188334e1541337))
4566
4567    - **Software CRC32**
4568
4569      - add software CRC32 support ([f216937](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f21693704a7bac275e12b44ae30fd210bc317175))
4570
4571  - **DT Bindings**
4572
4573    - add STM32MP1 TZC400 bindings ([43de546](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/43de546b909947ab44f104aaee02b98fba70f44c))
4574
4575  - **FDT Wrappers**
4576
4577    - add CPU enumeration utility function ([2d9ea36](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2d9ea360350303e37a8dd39f3599ac88aaef0ff9))
4578
4579  - **FDTs**
4580
4581    - add for_each_compatible_node macro ([ff76614](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ff766148b52bfecf09728a83fc3becc7941d943c))
4582    - introduce wrapper function to read DT UUIDs ([d13dbb6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d13dbb6f1d5e28737a3319af035a6cb991bc6f8f))
4583    - add firewall regions into STM32MP1 DT ([86b43c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/86b43c58a4105c8cef13d860dd73fa9bd560526a))
4584    - add IO policies for STM32MP1 ([21e002f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21e002fb777fad9d02a94dc961f077fb444517fa))
4585    - add STM32MP1 fw-config DT files ([d9e0586](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d9e0586b619b331eb2db75911ca82f927e20bd1c))
4586
4587    - **STM32MP1**
4588
4589      - align DT with latest kernel ([e8a953a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e8a953a9b85806f7324c8c7245435d5b9226c279))
4590      - delete nodes for non-used boot devices ([4357db5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4357db5b17ce6ba7357dd99276f34ab497ce60ef))
4591
4592  - **NXP**
4593
4594    - **OCRAM**
4595
4596      - add driver for OCRAM initialization ([10b1e13](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10b1e13bd200849ff134dd8d2fde341a8526f563))
4597
4598    - **PSCI**
4599
4600      - define CPUECTLR_TIMER_2TICKS ([3a2cc2e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3a2cc2e262890cffee1fc46835e85be6055189e8))
4601
4602- **Dependencies**
4603
4604  - **libfdt**
4605
4606    - also allow changing base address ([4d585fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4d585fe52feb231d5e73ec50a505122d5e9bf450))
4607
4608### Resolved Issues
4609
4610- **Architecture**
4611
4612- **Platforms**
4613
4614  - print newline before fatal abort error message ([a5fea81](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a5fea8105887d0dd15edf94aebd591b1b6b5ef05))
4615
4616  - **Allwinner**
4617
4618    - delay after enabling CPU power ([86a7429](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/86a7429e477786dad6fab002538aef825f4ca35a))
4619
4620  - **Arm**
4621
4622    - correct UUID strings in FVP DT ([748bdd1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/748bdd19aa27c15438d829bdba42fe4062a265a1))
4623    - fix a VERBOSE trace ([5869ebd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5869ebd0e87f1de987e51994103440fa8c77b26f))
4624    - remove unused memory node ([be42c4b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/be42c4b4bf3c44f2970b7a1658c46b8d5863cad1))
4625
4626    - **FPGA**
4627
4628      - allow build after MAKE_* changes ([9d38a3e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9d38a3e698331e3c8192cc3e0cc8584e6ed987d9))
4629      - avoid re-linking from executable ELF file ([a67ac76](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a67ac7648cd814ed8f8d4ece1b265c6d48c6dc81))
4630      - Change PL011 UART IRQ ([195381a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/195381a91313bc0bce2cfa087f3c55136a9e8496))
4631      - limit BL31 memory usage ([d457230](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d4572303ed45faceffed859955b0e71724fddfd2))
4632      - reserve BL31 memory ([13e16fe](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/13e16fee86451e2f871c2aac757b32299fe5ead6))
4633      - streamline generated axf file ([9177e4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9177e4fd9356b0f249be8b6fe14f222e10f1e6cd))
4634      - enable AMU extension ([d810e30](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d810e30dd6b47e0725dccbcb42ca0a0c5215ee34))
4635      - increase initrd size ([c3ce73b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3ce73be0bfe31fa28805fe92b3e727232ffd37a))
4636
4637    - **FVP**
4638
4639      - fix fvp_cpu_standby() function ([3202ce8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3202ce8bbb4af8580736d2a1634ad45c3f89d931))
4640      - spmc optee manifest remove SMC allowlist ([183725b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/183725b39d75e362a32b3c5d0be110c255c56bdd))
4641      - allow changing the kernel DTB load address ([672d669](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/672d669d6c72f92c6b81464d1d421e392bc1aa3e))
4642      - bump BL2 stack size ([d22f1d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d22f1d358731f0f55f2f392fa587f0fa8d315aa5))
4643      - provide boot files via semihosting ([749d0fa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/749d0fa80d1c7ca30b4092a381a06deeeaf1747f))
4644      - OP-TEE SP manifest per latest SPMC changes ([b7bc51a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b7bc51a7a747bf40d219b2041e5b3ce56737a71b))
4645
4646    - **FVP-R**
4647
4648      - fix compilation error in release mode ([7d96e79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7d96e79a1a2efdf85f1ed46cdd5c577b58054f53))
4649
4650    - **Morello**
4651
4652      - initialise CNTFRQ in Non Secure CNTBaseN ([7f2d23d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f2d23d9d790df90021de6c5165ef10fe5cc5590))
4653
4654    - **TC**
4655
4656      - enable AMU extension ([b5863ca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b5863cab9adb3fed0c1e4dfb92cf906794e7bdb4))
4657      - change UUID to string format ([1c19536](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1c1953653c20b4a8c61a7deb3fc493d496d8c478))
4658      - remove "arm,psci" from psci node ([814646b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/814646b4cb792ab14df04e28360fefd168399b3c))
4659      - remove ffa and optee device tree node ([f1b44a9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f1b44a9050fbc12e8c260107bfff2930476df062))
4660      - set cactus-tertiary vcpu count to 1 ([05f667f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/05f667f0c670ba9682050714561309f00210c282))
4661
4662    - **SGI**
4663
4664      - avoid redefinition of 'efi_guid' structure ([f34322c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f34322c1cea1e355aeb4133df6aa601d719be5a3))
4665
4666  - **Marvell**
4667
4668    - Check the required libraries before building doimage ([dd47809](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dd47809e9ea75188060bf8b294efa8578d255c63))
4669
4670    - **Armada**
4671
4672      - select correct pcie reference clock source ([371648e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/371648e1c76b5230bf8e153629064c02086365c9))
4673      - fix MSS loader for A8K family ([dceac43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dceac436f620e60cd0149194377871b225216079))
4674
4675      - **A3K**
4676
4677        - disable HANDLE_EA_EL3_FIRST by default ([3017e93](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3017e932768c7357a1a41493c58323419e9a1ec9))
4678        - enable workaround for erratum 1530924 ([975563d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/975563dbfc012b6e8a7765dd8e48220e1bc53dec))
4679        - Fix building uart-images.tgz.bin archive ([d3f8db0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d3f8db07b618e79c05805a1598e5e834e42fea98))
4680        - Fix check for external dependences ([2baf503](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2baf50385ba2b460afef4a7919b13b3a350fd03a))
4681        - fix printing info messages on output ([9f6d154](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9f6d15408340af07ed3c2500202b147189eaa7ef))
4682        - update information about PCIe abort hack ([068fe91](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/068fe919613197bf221c00fb84a1d94c66a7a8ca))
4683        - Remove encryption password ([076374c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/076374c9b97d47b10ba5c6034817866c08d66ed4))
4684
4685      - **A8K**
4686
4687        - Add missing build dependency for BLE target ([04738e6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/04738e69917f8e8790bf4cf83ceb05f85e1f45bb))
4688        - Correctly set include directories for individual targets ([559ab2d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/559ab2df4a35cd82b2a67a0bebeb3028544a6766))
4689        - Require that MV_DDR_PATH is correctly set ([528dafc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/528dafc367c4f49d4904c4335422502dacf469bf))
4690        - fix number of CPU power switches. ([5cf6faf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5cf6fafe223da89c60e2323c242ea188b17e98c3))
4691
4692  - **MediaTek**
4693
4694    - **MT8183**
4695
4696      - fix out-of-bound access ([420c26b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/420c26b33a29c8328a1806ccb2f5a5885041fdfc))
4697
4698    - **MT8195**
4699
4700      - use correct print format for uint64_t ([964ee4e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/964ee4e6be70ef638d6c875a761ab5ca359d84fe))
4701      - fix error setting for SPM ([1f81ccc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1f81cccedd40cb397813b0fa826ea1d793b02089))
4702      - extend MMU region size ([9ff8b8c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ff8b8ca9393e31e790eb2c8e7ea5c5f41f45198))
4703      - fix coverity fail ([85e4d14](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/85e4d14df157b5641421ea2b844c146ddc230152))
4704
4705  - **NXP**
4706
4707    - **i.MX**
4708
4709      - do not keep mmc_device_info in stack ([99d37c8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99d37c8cb8196a7296311fb4f97f80f086021c74))
4710
4711      - **i.MX 8M**
4712
4713        - **i.MX 8M Mini**
4714
4715          - fix FTBFS on SPD=opteed ([10bfc77](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/10bfc77e7b3afce17185114ac66361a0914f7784))
4716
4717    - **Layerscape**
4718
4719      - **LX2**
4720
4721        - **LS1028A**
4722
4723          - define endianness of scfg and gpio ([2475f63](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2475f63bdec6c24c13f7d6ec7f70275b1bde5c15))
4724          - fix compile error when enable fuse provision ([a0da9c4](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a0da9c4bd296ec1a47683a1ee05f5d1ed71828c7))
4725
4726  - **QEMU**
4727
4728    - (NS_DRAM0_BASE + NS_DRAM0_SIZE) ADDR overflow 32bit ([325716c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/325716c97b7835b8d249f12c1461556bab8c53a0))
4729    - reboot/shutdown with low to high gpio ([bd2ad12](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bd2ad12ef10f558a5b15f5768b66e7b2606c6498))
4730
4731  - **QTI**
4732
4733    - **SC1780**
4734
4735      - qti smc addition ([cc35a37](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cc35a3771d28a96906f8d0f393ff664924a2d4dc))
4736
4737  - **Raspberry Pi**
4738
4739    - **Raspberry Pi 4**
4740
4741      - drop /memreserve/ region ([5d2793a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5d2793a61aded9602af86e90a571f64ff07f93b3))
4742
4743  - **Renesas**
4744
4745    - **R-Car**
4746
4747      - change process that copy code to system ram ([49593cc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49593cc1ce0d0471aeef7ca24a5415da2dd55bea))
4748      - fix cache maintenance process of reading cert header ([c77ab18](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c77ab18ec7c8e0f3d953177b835e004a9b53515f))
4749      - fix to load image when option BL2_DCACHE_ENABLE is enabled ([d2ece8d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d2ece8dba2f31091b1fa6c302d4255495bb15705))
4750
4751      - **R-Car 3**
4752
4753        - fix disabling MFIS write protection for R-Car D3 ([a8c0c3e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a8c0c3e9d0df2215ed3b9ef66f4596787d957566))
4754        - fix eMMC boot support for R-Car D3 ([77ab366](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77ab3661e55c39694c7ee81de2d1615775711b64))
4755        - fix source file to make about GICv2 ([fb3406b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb3406b6b573cb0b35138ca3c89c5641d3d7b790))
4756        - fix version judgment for R-Car D3 ([c3d192b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3d192b8e52823dcbc32e21e47c30693d38bb49f))
4757        - generate two memory nodes for larger than 2 GiB channel 0 ([21924f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21924f2466b9b5e1243c142932e6f498da5633e9))
4758
4759  - **Rockchip**
4760
4761    - **RK3399**
4762
4763      - correct LPDDR4 resume sequence ([2c4b0c0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2c4b0c05c6546e24eb7209ffb3bb465d4feed164))
4764      - fix dram section placement ([f943b7c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f943b7c8e292e3aad2fcbdd0a37505f62b3b4c87))
4765
4766  - **Socionext**
4767
4768    - **Synquacer**
4769
4770      - update scmi power domain off handling ([f7f5d2c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f7f5d2c4cd209c2d21244da4fa442050eb4531ab))
4771
4772  - **ST**
4773
4774    - add STM32IMAGE_SRC ([f223505](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f22350583c2e26ea291eae3dc54db867fdf0d9af))
4775    - add UART reset in crash console init ([b38e2ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b38e2ed29ef791dad0cb61fed81b74d612f58b01))
4776    - apply security at the end of BL2 ([99080bd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/99080bd1273331007f0b2d6f64fed51ac6861bcd))
4777    - correct BSEC error code management ([72c7884](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/72c7884092684af4cc3c49e08f913b3ffed783ba))
4778    - correct IO compensation disabling ([c2d18ca](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c2d18ca80f4bd32f58ba07f53d9bb2586df18fc0))
4779    - correct signedness comparison issue ([5657dec](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5657decc7ffa1376c0a97b6d14ea1428877f5af4))
4780    - improve DDR get size function ([91ffc1d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/91ffc1deffa2c1c64efe4dfaf27b78f2621a8b0b))
4781    - only check header major when booting ([8ce8918](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8ce89187459ec77dd9ffdffba3a2b77838d51b6d))
4782    - panic if boot interface is wrong ([71693a6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/71693a66341e7d9d683ef32981243cb4c4439351))
4783    - remove double space ([306dcd6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/306dcd6b0d1981b75e103c560a4034bdaa6862d5))
4784
4785    - **ST32MP1**
4786
4787      - add bl prefix for internal linker script ([7684ddd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7684dddcfb14c45bad33b091410a0bf14a3a9830))
4788
4789  - **Xilinx**
4790
4791    - **Versal**
4792
4793      - correct IPI buffer offset ([e1e5b13](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e1e5b1339b9f73f7f1893d8a6d4dfe4b19ba0ad1))
4794      - use sync method for blocking calls ([fa58171](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fa58171534976f94b93a44184afd050d8225e404))
4795
4796    - **ZynqMP**
4797
4798      - use sync method for blocking calls ([c063c5a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c063c5a4f92d5787536e595ca4906b458b0f26cb))
4799
4800- **Services**
4801
4802  - drop warning on unimplemented calls ([67fad51](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/67fad514ee974dcf0252fa0e9219eb3c580eb714))
4803
4804  - **RME**
4805
4806    - fixes a shift by 64 bits bug in the RME GPT library ([322b344](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/322b344e30cb87b9293060d5946b3c17fe3b9133))
4807
4808  - **SPM**
4809
4810    - do not compile if SVE/SME is enabled ([4333f95](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4333f95bedb5f2b53dcb62e0e9c563794ec33c07))
4811    - error macro to use correct print format ([0c23e6f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0c23e6f44d41593b6e7f97594c12b5791bd75189))
4812    - revert workaround hafnium as hypervisor ([3221fce](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3221fce842c0b5aea984bb8dbc1393082bd88a58))
4813    - fixing coverity issue for SPM Core. ([f7fb0bf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/f7fb0bf77f3434bfb67411cad65e704fdef27f76))
4814
4815- **Libraries**
4816
4817  - **LIBC**
4818
4819    - use long for 64-bit types on aarch64 ([4ce3e99](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4ce3e99a336b74611349595ea7fd5ed0277c3eeb))
4820
4821  - **CPU Support**
4822
4823    - correct Demeter CPU name ([4cb576a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4cb576a0c5bd2e7669606996a9f79602596df07c))
4824    - workaround for Cortex A78 erratum 2242635 ([1ea9190](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ea9190c6a4d2299c6dc19adc0bbe93d4f051eff))
4825    - workaround for Cortex-A710 erratum 2058056 ([744bdbf](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/744bdbf732ffd2abf84b2431624051e93bc29f7b))
4826    - workaround for Neoverse V1 erratum 2216392 ([4c8fe6b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4c8fe6b17fa994a630b2a30f8666df103f2e370d))
4827    - workaround for Neoverse-N2 erratum 2138953 ([ef8f0c5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef8f0c52ddf83e815a029319971682d7a26b6a6f))
4828    - workaround for Neoverse-N2 erratum 2138958 ([c948185](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c948185c973c13df36c62c4bcb50e22b14d6e06a))
4829    - workaround for Neoverse-N2 erratum 2242400 ([603806d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/603806d1376c4b18211fb1d4cc338153de026c32))
4830    - workaround for Neoverse-N2 erratum 2242415 ([5819e23](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5819e23bc47c860872141caf42bddddb1b8679a5))
4831    - workaround for Neoverse-N2 erratum 2280757 ([0d2d999](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0d2d99924e1be548e75c46cfd536f7503cf863e0))
4832    - rename Matterhorn, Matterhorn ELP, and Klein CPUs ([c6ac4df](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c6ac4df622befb5bb42ac136745094e1498c91d8))
4833
4834  - **EL3 Runtime**
4835
4836    - correct CASSERT for pauth ([b4f8d44](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b4f8d44597faf641177134ee08db7c3fcef5aa14))
4837    - fix SVE and AMU extension enablement flags ([68ac5ed](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/68ac5ed0493b24e6a0a178171a47db75a31cc423))
4838    - random typos in tf-a code base ([2e61d68](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2e61d6871cc310e9404fe5cfa10b9828f1c869a7))
4839    - Remove save/restore of EL2 timer registers ([a7cf274](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a7cf2743f3eb487912302aafc748c81bbd1fc603))
4840
4841  - **OP-TEE**
4842
4843    - correct signedness comparison ([21d2be8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/21d2be83a2eabb328071e857e538ced3c8351874))
4844
4845  - **GPT**
4846
4847    - add necessary barriers and remove cache clean ([77612b9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77612b90acaffc82cea712f4a431c727bbb968ec))
4848    - use correct print format for uint64_t ([2461bd3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/2461bd3a89f7f2cdf4a7302536746733970cfe53))
4849
4850  - **Translation Tables**
4851
4852    - remove always true check in assert ([74d720a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/74d720a026735263d2f290fd05370dad0d4c7219))
4853
4854- **Drivers**
4855
4856  - **Authentication**
4857
4858    - avoid NV counter upgrade without certificate validation ([a2a5a94](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a2a5a9456969266dc68d5845f31e05be0c3ff2e3))
4859
4860    - **CryptoCell-713**
4861
4862      - fix a build failure with CC-713 library ([e5fbee5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e5fbee5085c682ac3438e6f66c8bdaffb6076fa2))
4863
4864  - **MTD**
4865
4866    - fix MISRA issues and logic improvement ([5130ad1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5130ad14d52a0196422fed8a7d08e25659890b15))
4867    - macronix quad enable bit issue ([c332740](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c3327408eb4b5852c0ed9d8933c35aaa6de34c21))
4868
4869    - **NAND**
4870
4871      - **SPI NAND**
4872
4873        - check correct manufacturer id ([4490b79](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4490b7963303fbe59b07a66c8498a803eb5c239c))
4874        - check that parameters have been set ([bc453ab](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bc453ab1b2fd4267d34f2b9587f73b8940ee1538))
4875
4876  - **SCMI**
4877
4878    - entry: add weak functions ([b3c8fd5](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b3c8fd5d778144340d289ad4825123106aac4a96))
4879    - smt: fix build for aarch64 ([0e223c6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0e223c6a9e5a2d92cae00fdd16a02a3f8971b114))
4880    - mention "SCMI" in driver initialisation message ([e0baae7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/e0baae7316bfdf3e49e5e158f79eb80cd51fc700))
4881    - relax requirement for exact protocol version ([125868c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/125868c94150f52ff85cdb59aee623ab1f9f259d))
4882
4883  - **UFS**
4884
4885    - add reset before DME_LINKSTARTUP ([905635d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/905635d5e74e3c7b7b2412a673009c8aaabb73e1))
4886
4887  - **Arm**
4888
4889    - **GIC**
4890
4891      - **GICv3**
4892
4893        - add dsb in both disable and enable function of gicv3_cpuif ([5a5e0aa](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a5e0aac398989536dc4be790820af89da3d093a))
4894
4895      - **GIC-600AE**
4896
4897	- fix timeout calculation ([7f322f2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7f322f228e76caa5480f827af0aa6751f00fc1c4))
4898
4899    - **TZC**
4900
4901      - **TZC-400**
4902
4903        - never disable filter 0 ([ef378d3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ef378d3ec1ef9d7c28baef32ed409688e962542b))
4904
4905  - **Marvell**
4906
4907    - **COMPHY**
4908
4909      - fix name of 3.125G SerDes mode ([a669983](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a669983c78828e3f4a4f14b9e5a6ee79dcfde20f))
4910
4911      - **Armada 3700**
4912
4913        - configure phy selector also for PCIe ([0f3a122](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0f3a1221093256999af5f2a80e9b3d7231b9f5fb))
4914        - fix address overflow ([c074f70](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c074f70ce5d85e1735b589b323fac99d7eb988b5))
4915        - handle failures in power functions ([49b664e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49b664e75f43fda08dddef4f0510d346bdd25565))
4916
4917      - **CP110**
4918
4919        - fix error code in pcie power on ([c0a909c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c0a909cdcce2d9a2ceefe672ad2fc1cae7e39ec4))
4920
4921    - **Armada**
4922
4923      - **A3K**
4924
4925        - **A3720**
4926
4927          - fix configuring UART clock ([b9185c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b9185c75f7ec2b600ebe0d49281e216a2456b764))
4928          - fix UART clock rate value and divisor calculation ([66a7752](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/66a7752834382595d26214783ae4698fd1f00bd6))
4929          - fix UART parent clock rate determination ([5a91c43](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5a91c439cbeb1f64b8b9830de91efad5113d3c89))
4930
4931  - **MediaTek**
4932
4933    - **PMIC Wrapper**
4934
4935      - update idle flow ([9ed4e6f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/9ed4e6fb669b8fcafc4e8acfa6a36db305d27ac8))
4936
4937    - **MT8192**
4938
4939      - **SPM**
4940
4941        - add missing bit define for debug purpose ([310c3a2](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/310c3a26e17d99aafc73b3504d0b6dfbdb97fd4c))
4942
4943  - **NXP**
4944
4945    - **FLEXSPI**
4946
4947      - fix warm boot wait time for MT35XU512A ([1ff7e46](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/1ff7e46b092b74891bc2dc7263e4dfae947b2223))
4948
4949    - **SCFG**
4950
4951      - fix endianness checking ([fb90cfd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/fb90cfd4eee504f1d16aa143728af427dc6e0ed8))
4952
4953    - **SFP**
4954
4955      - fix compile warning ([3239a17](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3239a17561c124df7095391c0d64e86910660cdc))
4956
4957  - **Renesas**
4958
4959    - **R-Car3**
4960
4961      - console: fix a return value of console_rcar_init ([bb273e3](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bb273e3be1c4f1cddeac9ceaac95fb56e41e6b98))
4962      - ddr: update DDR setting for H3, M3, M3N ([ec767c1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ec767c1b99675fbb50ef1b2fdb2d38e881e4789d))
4963      - emmc: remove CPG_CPGWPR redefinition ([36d5645](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/36d5645aec947ab00b925b21141e59e58e1efd8c))
4964      - fix CPG registers redefinition ([0dae56b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0dae56bb2f0aa1f89ec98ebe3931fb19751a5c72))
4965      - i2c_dvfs: fix I2C operation ([b757d3a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b757d3a1d901bee9b7ad430702575adba04889ba))
4966
4967  - **ST**
4968
4969    - **Clock**
4970
4971      - use correct return value ([8f97c4f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8f97c4fab1769b3f7f37a2a7a01ade36e5c94eaa))
4972      - correctly manage RTC clock source ([1550909](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/15509093f0ba9a10f97c6f92bc3bb9fcf79a48ce))
4973      - fix MCU/AXI parent clock ([b8fe48b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/b8fe48b6f2b07fce49363cb3c0f8dac9e286439b))
4974      - fix MPU clock rate ([602ae2f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/602ae2f23c2bc9d79a9ab2b7c5dde1932fffc984))
4975      - fix RTC clock rating ([cbd2e8a](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cbd2e8a6afdd05c4b404d7998134a3f60cc15518))
4976      - keep RTC clock always on ([5b111c7](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/5b111c74795ea5e9c8a12d0e6b18d77e431311ed))
4977      - keep RTCAPB clock always on ([373f06b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/373f06be4ee1114369b96763481b58885623aea4))
4978      - set other clocks as always on ([bf39318](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/bf39318d93c270ff72bda4b46e4771aba7aea313))
4979
4980    - **I/O**
4981
4982      - **STM32 Image**
4983
4984        - invalidate cache on local buf ([a5bcf82](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a5bcf82402ff415326b4dba42aae95c499821e94))
4985        - uninitialized variable warning ([c1d732d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c1d732d0db2463998036c678619007da79a25b3f))
4986
4987    - **ST PMIC**
4988
4989      - initialize i2c_state ([4282284](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/42822844bfed2e9ffaeae850cc60f5c3d4d9d654))
4990      - missing error check ([a4bcfe9](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a4bcfe94e73db89ce2ebbb23c8e33e51eea5026a))
4991
4992    - **STPMIC1**
4993
4994      - fix power switches activation ([0161991](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0161991184e5feacacc679bdb9c92681b85235eb))
4995      - update error cases return ([ed6a852](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/ed6a85234653c5ee2520389b769ff47e321df8a4))
4996
4997    - **UART**
4998
4999      - **STM32 Console**
5000
5001        - do not skip init for crash console ([49c7f0c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49c7f0cef4cc864185828750f1f61f3f33f284f7))
5002
5003  - **USB**
5004
5005    - add a optional ops get_other_speed_config_desc ([216c122](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/216c1223c2c65bd1c119a28b9406f70a9ee7b063))
5006    - fix Null pointer dereferences in usb_core_set_config ([0cb9870](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0cb9870ddfa1b2fec50debe6d6333cbcb3df1e7e))
5007    - remove deadcode when USBD_EP_NB = 1 ([7ca4928](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7ca49284be083b03ae11aa348b40358876ee5d4b))
5008    - remove unnecessary cast ([025f5ef](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/025f5ef201a39ba7285f368139e690bbd7a44653))
5009
5010- **Miscellaneous**
5011
5012  - use correct printf format for uint64_t ([4ef449c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4ef449c15a4055d92632cb7e72267f525a7e2fca))
5013
5014  - **DT Bindings**
5015
5016    - fix static checks ([0861fcd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/0861fcdd3e3f2625e133de3dae9c548de7c1ee48))
5017
5018  - **FDTs**
5019
5020    - avoid output on missing DT property ([49e789e](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/49e789e353efaf97f84eca016c6a1b8a2b3e3d98))
5021    - fix OOB write in uuid parsing function ([d0d6424](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0d642450f1f3a0f43e0e156ef57a0c460dd48cf))
5022
5023    - **Morello**
5024
5025      - fix scmi clock specifier to cluster mappings ([387a906](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/387a9065a271ecde0e47dc5a9f9d037637502beb))
5026
5027    - **STM32MP1**
5028
5029      - correct copyright dates ([8d26029](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8d26029168fe70a86de524ed68c56e8666823714))
5030      - set ETH clock on PLL4P on ST boards ([3e881a8](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/3e881a8834a955f1e552300bdbf1dafd02ea8f1c))
5031      - update PLL nodes for ED1/EV1 boards ([cdbbb9f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/cdbbb9f7ecd4687fa52e1c655b631377c24862b9))
5032      - use 'kHz' as kilohertz abbreviation ([4955d08](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4955d08de7aa664387d2e5f690e78b85ac23a402))
5033
5034  - **PIE**
5035
5036    - invalidate data cache in the entire image range if PIE is enabled ([596d20d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/596d20d9e4d50c02b5a0cce8cad2a1c205cd687a))
5037
5038  - **Security**
5039
5040    - Set MDCR_EL3.MCCD bit ([12f6c06](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/12f6c0649732a35a7ed45ba350a963f09a5710ca))
5041
5042  - **SDEI**
5043
5044    - fix assert while kdump issue ([d39db26](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d39db2695ba626b9c0ee38652fe160b4e84b15d9))
5045    - print event number in hex format ([6b94356](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6b94356b577744d425476a029c47bd35eb13c148))
5046    - set SPSR for SDEI based on TakeException ([37596fc](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/37596fcb43e34ed4bcf1bd3e86d8dec1011edab8))
5047
5048- **Documentation**
5049
5050  - fix TF-A v2.6 release date in the release information page ([c90fa47](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/c90fa47202b762fe8f54e9c0561e94d37907b6ad))
5051  - fix `FF-A` substitution ([a61940c](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/a61940ca739eb89be7c1bb2408a9178c2da5cb70))
5052  - fix typos in v2.5 release documentation ([481c7b6](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/481c7b6b9107a3f71ee750f89cacdd8f9c729838))
5053  - remove "experimental" tag for stable features ([700e768](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/700e7685dd4682a929645a79de39f503c9140b2d))
5054
5055  - **Contribution Guidelines**
5056
5057    - fix formatting for code snippet ([d0bbe81](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/d0bbe8150eb35fe2bac1567751bf84a8f073dd39))
5058
5059- **Build System**
5060
5061  - use space in WARNINGS list ([34b508b](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/34b508be9f021831423a8a14f56dff547e24c743))
5062
5063  - **Git Hooks**
5064
5065    - downgrade `package-lock.json` version ([7434b65](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/7434b65208175bdf3f44e0e62aaaeabc9c494ee3))
5066
5067- **Tools**
5068
5069  - **STM32 Image**
5070
5071    - improve the tool ([8d0036d](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/8d0036d3d8c8ac1524539ea90382acafb1e524c0))
5072
5073  - **SPTOOL**
5074
5075    - SP UUID little to big endian in TF-A build ([dcdbcdd](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/dcdbcddebdee8d4d2c6c8316f615b428758b22ac))
5076
5077  - **DOIMAGE**
5078
5079    - Fix doimage syntax breaking secure mode build ([6d55ef1](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/6d55ef1a24dc92a3b737aaa02141f550caaace06))
5080
5081- **Dependencies**
5082
5083  - **checkpatch**
5084
5085    - do not check merge commits ([77a0a7f](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/77a0a7f1d96b188849d1d8d8884b3c93857d3f69))
5086
5087## [2.5.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.4..refs/tags/v2.5) (2021-05-17)
5088
5089### New Features
5090
5091- Architecture support
5092
5093  - Added support for speculation barrier(`FEAT_SB`) for non-Armv8.5 platforms
5094    starting from Armv8.0
5095  - Added support for Activity Monitors Extension version 1.1(`FEAT_AMUv1p1`)
5096  - Added helper functions for Random number generator(`FEAT_RNG`) registers
5097  - Added support for Armv8.6 Multi-threaded PMU extensions (`FEAT_MTPMU`)
5098  - Added support for MTE Asymmetric Fault Handling extensions(`FEAT_MTE3`)
5099  - Added support for Privileged Access Never extensions(`FEAT_PANx`)
5100
5101- Bootloader images
5102
5103  - Added PIE support for AArch32 builds
5104  - Enable Trusted Random Number Generator service for BL32(sp_min)
5105
5106- Build System
5107
5108  - Added build option for Arm Feature Modifiers
5109
5110- Drivers
5111
5112  - Added support for interrupts in TZC-400 driver
5113  - Broadcom
5114    - Added support for I2C, MDIO and USB drivers
5115  - Marvell
5116    - Added support for secure read/write of dfc register-set
5117    - Added support for thermal sensor driver
5118    - Implement a3700_core_getc API in console driver
5119    - Added rx training on 10G port
5120  - Marvell Mochi
5121    - Added support for cn913x in PCIe mode
5122  - Marvell Armada A8K
5123    - Added support for TRNG-IP-76 driver and accessing RNG register
5124  - Mediatek MT8192
5125    - Added support for following drivers
5126      - MPU configuration for SCP/PCIe
5127      - SPM suspend
5128      - Vcore DVFS
5129      - LPM
5130      - PTP3
5131      - UART save and restore
5132      - Power-off
5133      - PMIC
5134      - CPU hotplug and MCDI support
5135      - SPMC
5136      - MPU
5137  - Mediatek MT8195
5138    - Added support for following drivers
5139      - GPIO, NCDI, SPMC drivers
5140      - Power-off
5141      - CPU hotplug, reboot and MCDI
5142      - Delay timer and sys timer
5143      - GIC
5144  - NXP
5145    - Added support for
5146      - non-volatile storage API
5147      - chain of trust and trusted board boot using two modes: MBEDTLS and CSF
5148      - fip-handler necessary for DDR initialization
5149      - SMMU and console drivers
5150      - crypto hardware accelerator driver
5151      - following drivers: SD, EMMC, QSPI, FLEXSPI, GPIO, GIC, CSU, PMU, DDR
5152      - NXP Security Monitor and SFP driver
5153      - interconnect config APIs using ARM CCN-CCI driver
5154      - TZC APIs to configure DDR region
5155      - generic timer driver
5156      - Device configuration driver
5157  - IMX
5158    - Added support for image loading and io-storage driver for TBBR fip booting
5159  - Renesas
5160    - Added support for PFC and EMMC driver
5161    - RZ Family:
5162      - G2N, G2E and G2H SoCs
5163        - Added support for watchdog, QoS, PFC and DRAM initialization
5164    - RZG Family:
5165      - G2M
5166        - Added support for QoS and DRAM initialization
5167  - Xilinx
5168    - Added JTAG DCC support for Versal and ZynqMP SoC family.
5169
5170- Libraries
5171
5172  - C standard library
5173    - Added support to print `%` in `snprintf()` and `printf()` APIs
5174    - Added support for strtoull, strtoll, strtoul, strtol APIs from FreeBSD
5175      project
5176  - CPU support
5177    - Added support for
5178      - Cortex_A78C CPU
5179      - Makalu ELP CPU
5180      - Makalu CPU
5181      - Matterhorn ELP CPU
5182      - Neoverse-N2 CPU
5183  - CPU Errata
5184    - Arm Cortex-A76: Added workaround for erratum 1946160
5185    - Arm Cortex-A77: Added workaround for erratum 1946167
5186    - Arm Cortex-A78: Added workaround for erratum 1941498 and 1951500
5187    - Arm Neoverse-N1: Added workaround for erratum 1946160
5188  - Flattened device tree(libfdt)
5189    - Added support for wrapper function to read UUIDs in string format from dtb
5190
5191- Platforms
5192
5193  - Added support for MediaTek MT8195
5194  - Added support for Arm RD-N2 board
5195  - Allwinner
5196    - Added support for H616 SoC
5197  - Arm
5198    - Added support for GPT parser
5199    - Protect GICR frames for fused/unused cores
5200  - Arm Morello
5201    - Added VirtIO network device to Morello FVP fdts
5202  - Arm RD-N2
5203    - Added support for variant 1 of RD-N2 platform
5204    - Enable AMU support
5205  - Arm RD-V1
5206    - Enable AMU support
5207  - Arm SGI
5208    - Added support for platform variant build option
5209  - Arm TC0
5210    - Added Matterhorn ELP CPU support
5211    - Added support for opteed
5212  - Arm Juno
5213    - Added support to use hw_config in BL31
5214    - Use TRNG entropy source for SMCCC TRNG interface
5215    - Condition Juno entropy source with CRC instructions
5216  - Marvell Mochi
5217    - Added support for detection of secure mode
5218  - Marvell ARMADA
5219    - Added support for new compile option A3720_DB_PM_WAKEUP_SRC
5220    - Added support doing system reset via CM3 secure coprocessor
5221    - Made several makefile enhancements required to build WTMI_MULTI_IMG and
5222      TIMDDRTOOL
5223    - Added support for building DOIMAGETOOL tool
5224    - Added new target mrvl_bootimage
5225  - Mediatek MT8192
5226    - Added support for rtc power off sequence
5227  - Mediatek MT8195
5228    - Added support for SiP service
5229  - STM32MP1
5230    - Added support for
5231      - Seeed ODYSSEY SoM and board
5232      - SDMMC2 and I2C2 pins in pinctrl
5233      - I2C2 peripheral in DTS
5234      - PIE for BL32
5235      - TZC-400 interrupt managament
5236      - Linux Automation MC-1 board
5237  - Renesas RZG
5238    - Added support for identifying EK874 RZ/G2E board
5239    - Added support for identifying HopeRun HiHope RZ/G2H and RZ/G2H boards
5240  - Rockchip
5241    - Added support for stack protector
5242  - QEMU
5243    - Added support for `max` CPU
5244    - Added Cortex-A72 support to `virt` platform
5245    - Enabled trigger reboot from secure pl061
5246  - QEMU SBSA
5247    - Added support for sbsa-ref Embedded Controller
5248  - NXP
5249    - Added support for warm reset to retain ddr content
5250    - Added support for image loader necessary for loading fip image
5251    - lx2160a SoC Family
5252      - Added support for
5253        - new platform lx2160a-aqds
5254        - new platform lx2160a-rdb
5255        - new platform lx2162a-aqds
5256        - errata handling
5257  - IMX imx8mm
5258    - Added support for trusted board boot
5259  - TI K3
5260    - Added support for lite device board
5261    - Enabled Cortex-A72 erratum 1319367
5262    - Enabled Cortex-A53 erratum 1530924
5263  - Xilinx ZynqMP
5264    - Added support for PS and system reset on WDT restart
5265    - Added support for error management
5266    - Enable support for log messages necessary for debug
5267    - Added support for PM API SMC call for efuse and register access
5268
5269- Processes
5270
5271  - Introduced process for platform deprecation
5272  - Added documentation for TF-A threat model
5273  - Provided a copy of the MIT license to comply with the license requirements
5274    of the arm-gic.h source file (originating from the Linux kernel project and
5275    re-distributed in TF-A).
5276
5277- Services
5278
5279  - Added support for TRNG firmware interface service
5280  - Arm
5281    - Added SiP service to configure Ethos-N NPU
5282  - SPMC
5283    - Added documentation for SPM(Hafnium) SMMUv3 driver
5284  - SPMD
5285    - Added support for
5286      - FFA_INTERRUPT forwading ABI
5287      - FFA_SECONDARY_EP_REGISTER ABI
5288      - FF-A v1.0 boot time power management, SPMC secondary core boot and early
5289        run-time power management
5290
5291- Tools
5292
5293  - FIPTool
5294    - Added mechanism to allow platform specific image UUID
5295  - git hooks
5296    - Added support for conventional commits through commitlint hook, commitizen
5297      hook and husky configuration files.
5298  - NXP tool
5299    - Added support for a tool that creates pbl file from BL2
5300  - Renesas RZ/G2
5301    - Added tool support for creating bootparam and cert_header images
5302  - CertCreate
5303    - Added support for platform-defined certificates, keys, and extensions
5304      using the platform's makefile
5305  - shared tools
5306    - Added EFI_GUID representation to uuid helper data structure
5307
5308### Changed
5309
5310- Common components
5311
5312  - Print newline after hex address in aarch64 el3_panic function
5313  - Use proper `#address-cells` and `#size-cells` for reserved-memory in dtbs
5314
5315- Drivers
5316
5317  - Move SCMI driver from ST platform directory and make it common to all
5318    platforms
5319  - Arm GICv3
5320    - Shift eSPI register offset in GICD_OFFSET_64()
5321    - Use mpidr to probe GICR for current CPU
5322  - Arm TZC-400
5323    - Adjust filter tag if it set to FILTER_BIT_ALL
5324  - Cadence
5325    - Enhance UART driver APIs to put characters to fifo
5326  - Mediatek MT8192
5327    - Move timer driver to common folder
5328    - Enhanced sys_cirq driver to add more IC services
5329  - Renesas
5330    - Move ddr and delay driver to common directory
5331  - Renesas rcar
5332    - Treat log as device memory in console driver
5333  - Renesas RZ Family:
5334    - G2N and G2H SoCs
5335      - Select MMC_CH1 for eMMC channel
5336  - Marvell
5337    - Added support for checking if TRNG unit is present
5338  - Marvell A3K
5339    - Set TXDCLK_2X_SEL bit during PCIe initialization
5340    - Set mask parameter for every reg_set call
5341  - Marvell Mochi
5342    - Added missing stream IDs configurations
5343  - MbedTLS
5344    - Migrated to Mbed TLS v2.26.0
5345  - IMX imx8mp
5346    - Change the bl31 physical load address
5347  - QEMU SBSA
5348    - Enable secure variable storage
5349  - SCMI
5350    - Update power domain protocol version to 2.0
5351  - STM32
5352    - Remove dead code from nand FMC driver
5353
5354- Libraries
5355
5356  - C Standard Library
5357    - Use macros to reduce duplicated code between snprintf and printf
5358  - CPU support
5359    - Sanity check pointers before use in AArch32 builds
5360    - Arm Cortex-A78
5361      - Remove rainier cpu workaround for errata 1542319
5362    - Arm Makalu ELP
5363      - Added "\_arm" suffix to Makalu ELP CPU lib
5364
5365- Miscellaneous
5366
5367  - Editorconfig
5368    - set max line length to 100
5369
5370- Platforms
5371
5372  - Allwinner
5373    - Added reserved-memory node to DT
5374    - Express memmap more dynamically
5375    - Move SEPARATE_NOBITS_REGION to platforms
5376    - Limit FDT checks to reduce code size
5377    - Use CPUIDLE hardware when available
5378    - Allow conditional compilation of SCPI and native PSCI ops
5379    - Always use a 3MHz RSB bus clock
5380    - Enable workaround for Cortex-A53 erratum 1530924
5381    - Fixed non-default PRELOADED_BL33_BASE
5382    - Leave CPU power alone during BL31 setup
5383    - Added several psci hooks enhancements to improve system shutdown/reset
5384      sequence
5385    - Return the PMIC to I2C mode after use
5386    - Separate code to power off self and other CPUs
5387    - Split native and SCPI-based PSCI implementations
5388  - Allwinner H6
5389    - Added R_PRCM security setup for H6 board
5390    - Added SPC security setup for H6 board
5391    - Use RSB for the PMIC connection on H6
5392  - Arm
5393    - Store UUID as a string, rather than ints
5394    - Replace FIP base and size macro with a generic name
5395    - Move compile time switch from source to dt file
5396    - Don't provide NT_FW_CONFIG when booting hafnium
5397    - Do not setup 'disabled' regulator
5398    - Increase SP max size
5399    - Remove false dependency of ARM_LINUX_KERNEL_AS_BL33 on RESET_TO_BL31 and
5400      allow it to be enabled independently
5401  - Arm FVP
5402    - Do not map GIC region in BL1 and BL2
5403  - Arm Juno
5404    - Refactor juno_getentropy() to return 64 bits on each call
5405  - Arm Morello
5406    - Remove "virtio-rng" from Morello FVP
5407    - Enable virtIO P9 device for Morello fvp
5408  - Arm RDV1
5409    - Allow all PSCI callbacks on RD-V1
5410    - Rename rddaniel to rdv1
5411  - Arm RDV1MC
5412    - Rename rddanielxlr to rdv1mc
5413    - Initialize TZC-400 controllers
5414  - Arm TC0
5415    - Updated GICR base address
5416    - Use scmi_dvfs clock index 1 for cores 4-7 through fdt
5417    - Added reserved-memory node for OP-TEE fdts
5418    - Enabled Theodul DSU in TC platform
5419    - OP-TEE as S-EL1 SP with SPMC at S-EL2
5420    - Update Matterhorm ELP DVFS clock index
5421  - Arm SGI
5422    - Allow access to TZC controller on all chips
5423    - Define memory regions for multi-chip platforms
5424    - Allow access to nor2 flash and system registers from S-EL0
5425    - Define default list of memory regions for DMC-620 TZC
5426    - Improve macros defining cper buffer memory region
5427    - Refactor DMC-620 error handling SMC function id
5428    - Refactor SDEI specific macros
5429    - Added platform id value for RDN2 platform
5430    - Refactored header file inclusions and inclusion of memory mapping
5431  - Arm RDN2
5432    - Allow usage of secure partitions on RDN2 platform
5433    - Update GIC redistributor and TZC base address
5434  - Arm SGM775
5435    - Deprecate Arm sgm775 FVP platform
5436  - Marvell
5437    - Increase TX FIFO EMPTY timeout from 2ms to 3ms
5438    - Update delay code to be compatible with 1200 MHz CPU
5439  - Marvell ARMADA
5440    - Postpone MSS CPU startup to BL31 stage
5441    - Allow builds without MSS support
5442    - Use MSS SRAM in secure mode
5443    - Added missing FORCE, .PHONY and clean targets
5444    - Cleanup MSS SRAM if used for copy
5445    - Move definition of mrvl_flash target to common marvell_common.mk file
5446    - Show informative build messages and blank lines
5447  - Marvell ARMADA A3K
5448    - Added a new target mrvl_uart which builds UART image
5449    - Added checks that WTP, MV_DDR_PATH and CRYPTOPP_PATH are correctly defined
5450    - Allow use of the system Crypto++ library
5451    - Build \$(WTMI_ENC_IMG) in \$(BUILD_PLAT) directory
5452    - Build intermediate files in \$(BUILD_PLAT) directory
5453    - Build UART image files directly in \$(BUILD_UART) subdirectory
5454    - Correctly set DDR_TOPOLOGY and CLOCKSPRESET for WTMI
5455    - Do not use 'echo -e' in Makefile
5456    - Improve 4GB DRAM usage from 3.375 GB to 3.75 GB
5457    - Remove unused variable WTMI_SYSINIT_IMG from Makefile
5458    - Simplify check if WTP variable is defined
5459    - Split building \$(WTMI_MULTI_IMG) and \$(TIMDDRTOOL)
5460  - Marvell ARMADA A8K
5461    - Allow CP1/CP2 mapping at BLE stage
5462  - Mediatek MT8183
5463    - Added timer V20 compensation
5464  - Nvidia Tegra
5465    - Rename SMC API
5466  - TI K3
5467    - Make plat_get_syscnt_freq2 helper check CNT_FID0 register
5468    - Fill non-message data fields in sec_proxy with 0x0
5469    - Update ti_sci_msg_req_reboot ABI to include domain
5470    - Enable USE_COHERENT_MEM only for the generic board
5471    - Explicitly map SEC_SRAM_BASE to 0x0
5472    - Use BL31_SIZE instead of computing
5473    - Define the correct number of max table entries and increase SRAM size to
5474      account for additional table
5475  - Raspberry Pi4
5476    - Switch to gicv2.mk and GICV2_SOURCES
5477  - Renesas
5478    - Move headers and assembly files to common folder
5479  - Renesas rzg
5480    - Added device tree memory node enhancements
5481  - Rockchip
5482    - Switch to using common gicv3.mk
5483  - STM32MP1
5484    - Set BL sizes regardless of flags
5485  - QEMU
5486    - Include gicv2.mk for compiling GICv2 source files
5487    - Change DEVICE2 definition for MMU
5488    - Added helper to calculate the position shift from MPIDR
5489  - QEMU SBSA
5490    - Include libraries for Cortex-A72
5491    - Increase SHARED_RAM_SIZE
5492    - Addes support in spm_mm for upto 512 cores
5493    - Added support for topology handling
5494  - QTI
5495    - Mandate SMC implementation
5496  - Xilinx
5497    - Rename the IPI CRC checksum macro
5498    - Use fno-jump-tables flag in CPPFLAGS
5499  - Xilinx versal
5500    - Added the IPI CRC checksum macro support
5501    - Mark IPI calls secure/non-secure
5502    - Enable sgi to communicate with linux using IPI
5503    - Remove Cortex-A53 compilation
5504  - Xilinx ZynqMP
5505    - Configure counter frequency during initialization
5506    - Filter errors related to clock gate permissions
5507    - Implement pinctrl request/release EEMI API
5508    - Reimplement pinctrl get/set config parameter EEMI API calls
5509    - Reimplement pinctrl set/get function EEMI API
5510    - Update error codes to match Linux and PMU Firmware
5511    - Update PM version and support PM version check
5512    - Update return type in query functions
5513    - Added missing ids for 43/46/47dr devices
5514    - Checked for DLL status before doing reset
5515    - Disable ITAPDLYENA bit for zero ITAP delay
5516    - Include GICv2 makefile
5517    - Remove the custom crash implementation
5518
5519- Services
5520
5521  - SPMD
5522    - Lock the g_spmd_pm structure
5523    - Declare third cactus instance as UP SP
5524    - Provide number of vCPUs and VM size for first SP
5525    - Remove `chosen` node from SPMC manifests
5526    - Move OP-TEE SP manifest DTS to FVP platform
5527    - Update OP-TEE SP manifest with device-regions node
5528    - Remove device-memory node from SPMC manifests
5529  - SPM_MM
5530    - Use sp_boot_info to set SP context
5531  - SDEI
5532    - Updata the affinity of shared event
5533
5534- Tools
5535
5536  - FIPtool
5537    - Do not print duplicate verbose lines about building fiptool
5538  - CertCreate
5539    - Updated tool for platform defined certs, keys & extensions
5540    - Create only requested certificates
5541    - Avoid duplicates in extension stack
5542
5543### Resolved Issues
5544
5545- Several fixes for typos and mis-spellings in documentation
5546
5547- Build system
5548
5549  - Fixed \$\{FIP_NAME} to be rebuilt only when needed in Makefile
5550  - Do not mark file targets as .PHONY target in Makefile
5551
5552- Drivers
5553
5554  - Authorization
5555    - Avoid NV counter upgrade without certificate validation
5556  - Arm GICv3
5557    - Fixed logical issue for num_eints
5558    - Limit SPI ID to avoid misjudgement in GICD_OFFSET()
5559    - Fixed potential GICD context override with ESPI enabled
5560  - Marvell A3700
5561    - Fixed configuring polarity invert bits
5562  - Arm TZC-400
5563    - Correct FAIL_CONTROL Privileged bit
5564    - Fixed logical error in FILTER_BIT definitions
5565  - Renesas rcar
5566    - Fixed several coding style violations reported by checkpatch
5567
5568- Libraries
5569
5570  - Arch helpers
5571    - Fixed assertions in processing dynamic relocations for AArch64 builds
5572  - C standard library
5573    - Fixed MISRA issues in memset() ABI
5574  - RAS
5575    - Fixed bug of binary search in RAS interrupt handler
5576
5577- Platforms
5578
5579  - Arm
5580    - Fixed missing copyrights in Arm-gic.h file
5581    - Fixed the order of header files in several dts files
5582    - Fixed error message printing in board makefile
5583    - Fixed bug of overriding the last node in image load helper API
5584    - Fixed stdout-path in fdts files of TC0 and N1SDP platforms
5585    - Turn ON/OFF redistributor in sync with GIC CPU interface ON/OFF for css
5586      platforms
5587  - Arm FVP
5588    - Fixed Generic Timer interrupt types in platform dts files
5589  - Arm Juno
5590    - Fixed parallel build issue for romlib config
5591  - Arm SGI
5592    - Fixed bug in SDEI receive event of RAS handler
5593  - Intel Agilex
5594    - Fixed PLAT_MAX_PWR_LVL value
5595  - Marvell
5596    - Fixed SPD handling in dram port
5597  - Marvell ARMADA
5598    - Fixed TRNG return SMC handling
5599    - Fixed the logic used for LD selector mask
5600    - Fixed MSS firmware loader for A8K family
5601  - ST
5602    - Fixed few violations reported by coverity static checks
5603  - STM32MP1
5604    - Fixed SELFREF_TO_X32 mask in ddr driver
5605    - Do not keep mmc_device_info in stack
5606    - Correct plat_crash_console_flush()
5607  - QEMU SBSA
5608    - Fixed memory type of secure NOR flash
5609  - QTI
5610    - Fixed NUM_APID and REG_APID_MAP() argument in SPMI driver
5611  - Intel
5612    - Do not keep mmc_device_info in stack
5613  - Hisilicon
5614    - Do not keep mmc_device_info in stack
5615
5616- Services
5617
5618  - EL3 runtime
5619    - Fixed the EL2 context save/restore routine by removing EL2 generic timer
5620      system registers
5621    - Added fix for exception handler in BL31 by synchronizing pending EA using
5622      DSB barrier
5623  - SPMD
5624    - Fixed error codes to use int32_t type
5625  - TSPD
5626    - Added bug fix in tspd interrupt handling when TSP_NS_INTR_ASYNC_PREEMPT is
5627      enabled
5628  - TRNG
5629    - Fixed compilation errors with -O0 compile option
5630  - DebugFS
5631    - Checked channel index before calling clone function
5632  - PSCI
5633    - Fixed limit of 256 CPUs caused by cast to unsigned char
5634  - TSP
5635    - Fixed compilation erros when built with GCC 11.0.0 toolchain
5636
5637- Tools
5638
5639  - FIPtool
5640    - Do not call `make clean` for `all` target
5641  - CertCreate
5642    - Fixed bug to avoid cleaning when building the binary
5643    - Used preallocated parts of the HASH struct to avoid leaking HASH struct
5644      fields
5645    - Free arguments copied with strdup
5646    - Free keys after use
5647    - Free X509_EXTENSION structures on stack to avoid leaking them
5648    - Optimized the code to avoid unnecessary attempts to create non-requested
5649      certificates
5650
5651## [2.4.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.3..refs/tags/v2.4) (2020-11-17)
5652
5653### New Features
5654
5655- Architecture support
5656  - Armv8.6-A
5657    - Added support for Armv8.6 Enhanced Counter Virtualization (ECV)
5658    - Added support for Armv8.6 Fine Grained Traps (FGT)
5659    - Added support for Armv8.6 WFE trap delays
5660- Bootloader images
5661  - Added support for Measured Boot
5662- Build System
5663  - Added build option `COT_DESC_IN_DTB` to create Chain of Trust at runtime
5664  - Added build option `OPENSSL_DIR` to direct tools to OpenSSL libraries
5665  - Added build option `RAS_TRAP_LOWER_EL_ERR_ACCESS` to enable trapping RAS
5666    register accesses from EL1/EL2 to EL3
5667  - Extended build option `BRANCH_PROTECTION` to support branch target
5668    identification
5669- Common components
5670  - Added support for exporting CPU nodes to the device tree
5671  - Added support for single and dual-root Chains of Trust in secure partitions
5672- Drivers
5673  - Added Broadcom RNG driver
5674  - Added Marvell `mg_conf_cm3` driver
5675  - Added System Control and Management Interface (SCMI) driver
5676  - Added STMicroelectronics ETZPC driver
5677  - Arm GICv3
5678    - Added support for detecting topology at runtime
5679  - Dual Root
5680    - Added support for platform certificates
5681  - Marvell Cache LLC
5682    - Added support for mapping the entire LLC into SRAM
5683  - Marvell CCU
5684    - Added workaround for erratum 3033912
5685  - Marvell CP110 COMPHY
5686    - Added support for SATA COMPHY polarity inversion
5687    - Added support for USB COMPHY polarity inversion
5688    - Added workaround for erratum IPCE_COMPHY-1353
5689  - STM32MP1 Clocks
5690    - Added `RTC` as a gateable clock
5691    - Added support for shifted clock selector bit masks
5692    - Added support for using additional clocks as parents
5693- Libraries
5694  - C standard library
5695    - Added support for hexadecimal and pointer format specifiers in `snprint()`
5696    - Added assembly alternatives for various library functions
5697  - CPU support
5698    - Arm Cortex-A53
5699      - Added workaround for erratum 1530924
5700    - Arm Cortex-A55
5701      - Added workaround for erratum 1530923
5702    - Arm Cortex-A57
5703      - Added workaround for erratum 1319537
5704    - Arm Cortex-A76
5705      - Added workaround for erratum 1165522
5706      - Added workaround for erratum 1791580
5707      - Added workaround for erratum 1868343
5708    - Arm Cortex-A72
5709      - Added workaround for erratum 1319367
5710    - Arm Cortex-A77
5711      - Added workaround for erratum 1508412
5712      - Added workaround for erratum 1800714
5713      - Added workaround for erratum 1925769
5714    - Arm Neoverse-N1
5715      - Added workaround for erratum 1868343
5716  - EL3 Runtime
5717    - Added support for saving/restoring registers related to nested
5718      virtualization in EL2 context switches if the architecture supports it
5719  - FCONF
5720    - Added support for Measured Boot
5721    - Added support for populating Chain of Trust properties
5722    - Added support for loading the `fw_config` image
5723  - Measured Boot
5724    - Added support for event logging
5725- Platforms
5726  - Added support for Arm Morello
5727  - Added support for Arm TC0
5728  - Added support for iEi PUZZLE-M801
5729  - Added support for Marvell OCTEON TX2 T9130
5730  - Added support for MediaTek MT8192
5731  - Added support for NXP i.MX 8M Nano
5732  - Added support for NXP i.MX 8M Plus
5733  - Added support for QTI CHIP SC7180
5734  - Added support for STM32MP151F
5735  - Added support for STM32MP153F
5736  - Added support for STM32MP157F
5737  - Added support for STM32MP151D
5738  - Added support for STM32MP153D
5739  - Added support for STM32MP157D
5740  - Arm
5741    - Added support for platform-owned SPs
5742    - Added support for resetting to BL31
5743  - Arm FPGA
5744    - Added support for Klein
5745    - Added support for Matterhorn
5746    - Added support for additional CPU clusters
5747  - Arm FVP
5748    - Added support for performing SDEI platform setup at runtime
5749    - Added support for SMCCC's `SMCCC_ARCH_SOC_ID` command
5750    - Added an `id` field under the NV-counter node in the device tree to
5751      differentiate between trusted and non-trusted NV-counters
5752    - Added support for extracting the clock frequency from the timer node in
5753      the device tree
5754  - Arm Juno
5755    - Added support for SMCCC's `SMCCC_ARCH_SOC_ID` command
5756  - Arm N1SDP
5757    - Added support for cross-chip PCI-e
5758  - Marvell
5759    - Added support for AVS reduction
5760  - Marvell ARMADA
5761    - Added support for twin-die combined memory device
5762  - Marvell ARMADA A8K
5763    - Added support for DDR with 32-bit bus width (both ECC and non-ECC)
5764  - Marvell AP806
5765    - Added workaround for erratum FE-4265711
5766  - Marvell AP807
5767    - Added workaround for erratum 3033912
5768  - Nvidia Tegra
5769    - Added debug printouts indicating SC7 entry sequence completion
5770    - Added support for SDEI
5771    - Added support for stack protection
5772    - Added support for GICv3
5773    - Added support for SMCCC's `SMCCC_ARCH_SOC_ID` command
5774  - Nvidia Tegra194
5775    - Added support for RAS exception handling
5776    - Added support for SPM
5777  - NXP i.MX
5778    - Added support for SDEI
5779  - QEMU SBSA
5780    - Added support for the Secure Partition Manager
5781  - QTI
5782    - Added RNG driver
5783    - Added SPMI PMIC arbitrator driver
5784    - Added support for SMCCC's `SMCCC_ARCH_SOC_ID` command
5785  - STM32MP1
5786    - Added support for exposing peripheral interfaces to the non-secure world
5787      at runtime
5788    - Added support for SCMI clock and reset services
5789    - Added support for STM32MP15x CPU revision Z
5790    - Added support for SMCCC services in `SP_MIN`
5791- Services
5792  - Secure Payload Dispatcher
5793    - Added a provision to allow clients to retrieve the service UUID
5794  - SPMC
5795    - Added secondary core endpoint information to the SPMC context structure
5796  - SPMD
5797    - Added support for booting OP-TEE as a guest S-EL1 Secure Partition on top
5798      of Hafnium in S-EL2
5799    - Added a provision for handling SPMC messages to register secondary core
5800      entry points
5801    - Added support for power management operations
5802- Tools
5803  - CertCreate
5804    - Added support for secure partitions
5805  - CertTool
5806    - Added support for the `fw_config` image
5807  - FIPTool
5808    - Added support for the `fw_config` image
5809
5810### Changed
5811
5812- Architecture support
5813- Bootloader images
5814- Build System
5815  - The top-level Makefile now supports building FipTool on Windows
5816  - The default value of `KEY_SIZE` has been changed to to 2048 when RSA is in
5817    use
5818  - The previously-deprecated macro `__ASSEMBLY__` has now been removed
5819- Common components
5820  - Certain functions that flush the console will no longer return error
5821    information
5822- Drivers
5823  - Arm GIC
5824    - Usage of `drivers/arm/gic/common/gic_common.c` has now been deprecated in
5825      favour of `drivers/arm/gic/vX/gicvX.mk`
5826    - Added support for detecting the presence of a GIC600-AE
5827    - Added support for detecting the presence of a GIC-Clayton
5828  - Marvell MCI
5829    - Now performs link tuning for all MCI interfaces to improve performance
5830  - Marvell MoChi
5831    - PIDI masters are no longer forced into a non-secure access level when
5832      `LLC_SRAM` is enabled
5833    - The SD/MMC controllers are now accessible from guest virtual machines
5834  - Mbed TLS
5835    - Migrated to Mbed TLS v2.24.0
5836  - STM32 FMC2 NAND
5837    - Adjusted FMC node bindings to include an EBI controller node
5838  - STM32 Reset
5839    - Added an optional timeout argument to assertion functions
5840  - STM32MP1 Clocks
5841    - Enabled several additional system clocks during initialization
5842- Libraries
5843  - C Standard Library
5844    - Improved `memset` performance by avoiding single-byte writes
5845    - Added optimized assembly variants of `memset`
5846  - CPU support
5847    - Renamed Cortex-Hercules to Cortex-A78
5848    - Renamed Cortex-Hercules AE to Cortex-A78 AE
5849    - Renamed Neoverse Zeus to Neoverse V1
5850  - Coreboot
5851    - Updated ‘coreboot_get_memory_type’ API to take an extra argument as a
5852      ’memory size’ that used to return a valid memory type.
5853  - libfdt
5854    - Updated to latest upstream version
5855- Platforms
5856  - Allwinner
5857    - Disabled non-secure access to PRCM power control registers
5858  - Arm
5859    - `BL32_BASE` is now platform-dependent when `SPD_spmd` is enabled
5860    - Added support for loading the Chain of Trust from the device tree
5861    - The firmware update check is now executed only once
5862    - NV-counter base addresses are now loaded from the device tree when
5863      `COT_DESC_IN_DTB` is enabled
5864    - Now loads and populates `fw_config` and `tb_fw_config`
5865    - FCONF population now occurs after caches have been enabled in order to
5866      reduce boot times
5867  - Arm Corstone-700
5868    - Platform support has been split into both an FVP and an FPGA variant
5869  - Arm FPGA
5870    - DTB and BL33 load addresses have been given sensible default values
5871    - Now reads generic timer counter frequency, GICD and GICR base addresses,
5872      and UART address from DT
5873    - Now treats the primary PL011 UART as an SBSA Generic UART
5874  - Arm FVP
5875    - Secure interrupt descriptions, UART parameters, clock frequencies and
5876      GICv3 parameters are now queried through FCONF
5877    - UART parameters are now queried through the device tree
5878    - Added an owner field to Cactus secure partitions
5879    - Increased the maximum size of BL2 when the Chain of Trust is loaded from
5880      the device tree
5881    - Reduces the maximum size of BL31
5882    - The `FVP_USE_SP804_TIMER` and `FVP_VE_USE_SP804_TIMER` build options have
5883      been removed in favour of a common `USE_SP804_TIMER` option
5884    - Added a third Cactus partition to manifests
5885    - Device tree nodes now store UUIDs in big-endian
5886  - Arm Juno
5887    - Increased the maximum size of BL2 when optimizations have not been applied
5888    - Reduced the maximum size of BL31 and BL32
5889  - Marvell AP807
5890    - Enabled snoop filters
5891  - Marvell ARMADA A3K
5892    - UART recovery images are now suffixed with `.bin`
5893  - Marvell ARMADA A8K
5894    - Option `BL31_CACHE_DISABLE` is now disabled (`0`) by default
5895  - Nvidia Tegra
5896    - Added VPR resize supported check when processing video memory resize
5897      requests
5898    - Added SMMU verification to prevent potential issues caused by undetected
5899      corruption of the SMMU configuration during boot
5900    - The GIC CPU interface is now properly disabled after CPU off
5901    - The GICv2 sources list and the `BL31_SIZE` definition have been made
5902      platform-specific
5903    - The SPE driver will no longer flush the console when writing individual
5904      characters
5905  - Nvidia Tegra194
5906    - TZDRAM setup has been moved to platform-specific early boot handlers
5907    - Increased verbosity of debug prints for RAS SErrors
5908    - Support for powering down CPUs during CPU suspend has been removed
5909    - Now verifies firewall settings before using resources
5910  - TI K3
5911    - The UART number has been made configurable through `K3_USART`
5912  - Rockchip RK3368
5913    - The maximum number of memory map regions has been increased to 20
5914  - Socionext Uniphier
5915    - The maximum size of BL33 has been increased to support larger bootloaders
5916  - STM32
5917    - Removed platform-specific DT functions in favour of using existing generic
5918      alternatives
5919  - STM32MP1
5920    - Increased verbosity of exception reports in debug builds
5921    - Device trees have been updated to align with the Linux kernel
5922    - Now uses the ETZPC driver to configure secure-aware interfaces for
5923      assignment to the non-secure world
5924    - Finished good variants have been added to the board identifier
5925      enumerations
5926    - Non-secure access to clocks and reset domains now depends on their state
5927      of registration
5928    - NEON is now disabled in `SP_MIN`
5929    - The last page of `SYSRAM` is now used as SCMI shared memory
5930    - Checks to verify platform compatibility have been added to verify that an
5931      image is compatible with the chip ID of the running platform
5932  - QEMU SBSA
5933    - Removed support for Arm's Cortex-A53
5934- Services
5935  - Renamed SPCI to FF-A
5936  - SPMD
5937    - No longer forwards requests to the non-secure world when retrieving
5938      partition information
5939    - SPMC manifest size is now retrieved directly from SPMD instead of the
5940      device tree
5941    - The FF-A version handler now returns SPMD's version when the origin of the
5942      call is secure, and SPMC's version when the origin of the call is
5943      non-secure
5944  - SPMC
5945    - Updated the manifest to declare CPU nodes in descending order as per the
5946      SPM (Hafnium) multicore requirement
5947    - Updated the device tree to mark 2GB as device memory for the first
5948      partition excluding trusted DRAM region (which is reserved for SPMC)
5949    - Increased the number of EC contexts to the maximum number of PEs as per
5950      the FF-A specification
5951- Tools
5952  - FIPTool
5953    - Now returns `0` on `help` and `help <command>`
5954  - Marvell DoImage
5955    - Updated Mbed TLS support to v2.8
5956  - SPTool
5957    - Now appends CertTool arguments
5958
5959### Resolved Issues
5960
5961- Bootloader images
5962  - Fixed compilation errors for dual-root Chains of Trust caused by symbol
5963    collision
5964  - BL31
5965    - Fixed compilation errors on platforms with fewer than 4 cores caused by
5966      initialization code exceeding the end of the stacks
5967    - Fixed compilation errors when building a position-independent image
5968- Build System
5969  - Fixed invalid empty version strings
5970  - Fixed compilation errors on Windows caused by a non-portable architecture
5971    revision comparison
5972- Drivers
5973  - Arm GIC
5974    - Fixed spurious interrupts caused by a missing barrier
5975  - STM32 Flexible Memory Controller 2 (FMC2) NAND driver
5976    - Fixed runtime instability caused by incorrect error detection logic
5977  - STM32MP1 Clock driver
5978    - Fixed incorrectly-formatted log messages
5979    - Fixed runtime instability caused by improper clock gating procedures
5980  - STMicroelectronics Raw NAND driver
5981    - Fixed runtime instability caused by incorrect unit conversion when waiting
5982      for NAND readiness
5983- Libraries
5984  - AMU
5985    - Fixed timeout errors caused by excess error logging
5986  - EL3 Runtime
5987    - Fixed runtime instability caused by improper register save/restore routine
5988      in EL2
5989  - FCONF
5990    - Fixed failure to initialize GICv3 caused by overly-strict device tree
5991      requirements
5992  - Measured Boot
5993    - Fixed driver errors caused by a missing default value for the `HASH_ALG`
5994      build option
5995  - SPE
5996    - Fixed feature detection check that prevented CPUs supporting SVE from
5997      detecting support for SPE in the non-secure world
5998  - Translation Tables
5999    - Fixed various MISRA-C 2012 static analysis violations
6000- Platforms
6001  - Allwinner A64
6002    - Fixed USB issues on certain battery-powered device caused by improperly
6003      activated USB power rail
6004  - Arm
6005    - Fixed compilation errors caused by increase in BL2 size
6006    - Fixed compilation errors caused by missing Makefile dependencies to
6007      generated files when building the FIP
6008    - Fixed MISRA-C 2012 static analysis violations caused by unused structures
6009      in include directives intended to be feature-gated
6010  - Arm FPGA
6011    - Fixed initialization issues caused by incorrect MPIDR topology mapping
6012      logic
6013  - Arm RD-N1-edge
6014    - Fixed compilation errors caused by mismatched parentheses in Makefile
6015  - Arm SGI
6016    - Fixed crashes due to the flash memory used for cold reboot attack
6017      protection not being mapped
6018  - Intel Agilex
6019    - Fixed initialization issues caused by several compounding bugs
6020  - Marvell
6021    - Fixed compilation warnings caused by multiple Makefile inclusions
6022  - Marvell ARMADA A3K
6023    - Fixed boot issue in debug builds caused by checks on the BL33 load address
6024      that are not appropriate for this platform
6025  - Nvidia Tegra
6026    - Fixed incorrect delay timer reads
6027    - Fixed spurious interrupts in the non-secure world during cold boot caused
6028      by the arbitration bit in the memory controller not being cleared
6029    - Fixed faulty video memory resize sequence
6030  - Nvidia Tegra194
6031    - Fixed incorrect alignment of TZDRAM base address
6032  - NXP iMX8M
6033    - Fixed CPU hot-plug issues caused by race condition
6034  - STM32MP1
6035    - Fixed compilation errors in highly-parallel builds caused by incorrect
6036      Makefile dependencies
6037  - STM32MP157C-ED1
6038    - Fixed initialization issues caused by missing device tree hash node
6039  - Raspberry Pi 3
6040    - Fixed compilation errors caused by incorrect dependency ordering in
6041      Makefile
6042  - Rockchip
6043    - Fixed initialization issues caused by non-critical errors when parsing FDT
6044      being treated as critical
6045  - Rockchip RK3368
6046    - Fixed runtime instability caused by incorrect CPUID shift value
6047  - QEMU
6048    - Fixed compilation errors caused by incorrect dependency ordering in
6049      Makefile
6050  - QEMU SBSA
6051    - Fixed initialization issues caused by FDT exceeding reserved memory size
6052  - QTI
6053    - Fixed compilation errors caused by inclusion of a non-existent file
6054- Services
6055  - FF-A (previously SPCI)
6056    - Fixed SPMD aborts caused by incorrect behaviour when the manifest is
6057      page-aligned
6058- Tools
6059  - Fixed compilation issues when compiling tools from within their respective
6060    directories
6061  - FIPTool
6062    - Fixed command line parsing issues on Windows when using arguments whose
6063      names also happen to be a subset of another's
6064  - Marvell DoImage
6065    - Fixed PKCS signature verification errors at boot on some platforms caused
6066      by generation of misaligned images
6067
6068### Known Issues
6069
6070- Platforms
6071  - NVIDIA Tegra
6072    - Signed comparison compiler warnings occurring in libfdt are currently
6073      being worked around by disabling the warning for the platform until the
6074      underlying issue is resolved in libfdt
6075
6076## [2.3.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.2..refs/tags/v2.3) (2020-04-20)
6077
6078### New Features
6079
6080- Arm Architecture
6081  - Add support for Armv8.4-SecEL2 extension through the SPCI defined SPMD/SPMC
6082    components.
6083  - Build option to support EL2 context save and restore in the secure world
6084    (CTX_INCLUDE_EL2_REGS).
6085  - Add support for SMCCC v1.2 (introducing the new SMCCC_ARCH_SOC_ID SMC). Note
6086    that the support is compliant, but the SVE registers save/restore will be
6087    done as part of future S-EL2/SPM development.
6088- BL-specific
6089  - Enhanced BL2 bootloader flow to load secure partitions based on firmware
6090    configuration data (fconf).
6091  - Changes necessary to support SEPARATE_NOBITS_REGION feature
6092  - TSP and BL2_AT_EL3: Add Position Independent Execution `PIE` support
6093- Build System
6094  - Add support for documentation build as a target in Makefile
6095  - Add `COT` build option to select the Chain of Trust to use when the Trusted
6096    Boot feature is enabled (default: `tbbr`).
6097  - Added creation and injection of secure partition packages into the FIP.
6098  - Build option to support SPMC component loading and run at S-EL1 or S-EL2
6099    (SPMD_SPM_AT_SEL2).
6100  - Enable MTE support
6101  - Enable Link Time Optimization in GCC
6102  - Enable -Wredundant-decls warning check
6103  - Makefile: Add support to optionally encrypt BL31 and BL32
6104  - Add support to pass the nt_fw_config DTB to OP-TEE.
6105  - Introduce per-BL `CPPFLAGS`, `ASFLAGS`, and `LDFLAGS`
6106  - build_macros: Add CREATE_SEQ function to generate sequence of numbers
6107- CPU Support
6108  - cortex-a57: Enable higher performance non-cacheable load forwarding
6109  - Hercules: Workaround for Errata 1688305
6110  - Klein: Support added for Klein CPU
6111  - Matterhorn: Support added for Matterhorn CPU
6112- Drivers
6113  - auth: Add `calc_hash` function for hash calculation. Used for authentication
6114    of images when measured boot is enabled.
6115  - cryptocell: Add authenticated decryption framework, and support for
6116    CryptoCell-713 and CryptoCell-712 RSA 3K
6117  - gic600: Add support for multichip configuration and Clayton
6118  - gicv3: Introduce makefile, Add extended PPI and SPI range, Add support for
6119    probing multiple GIC Redistributor frames
6120  - gicv4: Add GICv4 extension for GIC driver
6121  - io: Add an IO abstraction layer to load encrypted firmwares
6122  - mhu: Derive doorbell base address
6123  - mtd: Add SPI-NOR, SPI-NAND, SPI-MEM, and raw NAND framework
6124  - scmi: Allow use of multiple SCMI channels
6125  - scu: Add a driver for snoop control unit
6126- Libraries
6127  - coreboot: Add memory range parsing and use generic base address
6128  - compiler_rt: Import popcountdi2.c and popcountsi2.c files, aeabi_ldivmode.S
6129    file and dependencies
6130  - debugFS: Add DebugFS functionality
6131  - el3_runtime: Add support for enabling S-EL2
6132  - fconf: Add Firmware Configuration Framework (fconf) (experimental).
6133  - libc: Add memrchr function
6134  - locks: bakery: Use is_dcache_enabled() helper and add a DMB to the
6135    'read_cache_op' macro
6136  - psci: Add support to enable different personality of the same soc.
6137  - xlat_tables_v2: Add support to pass shareability attribute for normal memory
6138    region, use get_current_el_maybe_constant() in is_dcache_enabled(),
6139    read-only xlat tables for BL31 memory, and add enable_mmu()
6140- New Platforms Support
6141  - arm/arm_fpga: New platform support added for FPGA
6142  - arm/rddaniel: New platform support added for rd-daniel platform
6143  - brcm/stingray: New platform support added for Broadcom stingray platform
6144  - nvidia/tegra194: New platform support for Nvidia Tegra194 platform
6145- Platforms
6146  - allwinner: Implement PSCI system suspend using SCPI, add a msgbox driver for
6147    use with SCPI, and reserve and map space for the SCP firmware
6148  - allwinner: axp: Add AXP805 support
6149  - allwinner: power: Add DLDO4 power rail
6150  - amlogic: axg: Add a build flag when using ATOS as BL32 and support for the
6151    A113D (AXG) platform
6152  - arm/a5ds: Add ethernet node and L2 cache node in devicetree
6153  - arm/common: Add support for the new `dualroot` chain of trust
6154  - arm/common: Add support for SEPARATE_NOBITS_REGION
6155  - arm/common: Re-enable PIE when RESET_TO_BL31=1
6156  - arm/common: Allow boards to specify second DRAM Base address and to define
6157    PLAT_ARM_TZC_FILTERS
6158  - arm/corstone700: Add support for mhuv2 and stack protector
6159  - arm/fvp: Add support for fconf in BL31 and SP_MIN. Populate power domain
6160    descriptor dynamically by leveraging fconf APIs.
6161  - arm/fvp: Add Cactus/Ivy Secure Partition information and use two instances
6162    of Cactus at S-EL1
6163  - arm/fvp: Add support to run BL32 in TDRAM and BL31 in secure DRAM
6164  - arm/fvp: Add support for GICv4 extension and BL2 hash calculation in BL1
6165  - arm/n1sdp: Setup multichip gic routing table, update platform macros for
6166    dual-chip setup, introduce platform information SDS region, add support to
6167    update presence of External LLC, and enable the NEOVERSE_N1_EXTERNAL_LLC
6168    flag
6169  - arm/rdn1edge: Add support for dual-chip configuration and use CREATE_SEQ
6170    helper macro to compare chip count
6171  - arm/sgm: Always use SCMI for SGM platforms
6172  - arm/sgm775: Add support for dynamic config using fconf
6173  - arm/sgi: Add multi-chip mode parameter in HW_CONFIG dts, macros for remote
6174    chip device region, chip_id and multi_chip_mode to platform variant info,
6175    and introduce number of chips macro
6176  - brcm: Add BL2 and BL31 support common across Broadcom platforms
6177  - brcm: Add iproc SPI Nor flash support, spi driver, emmc driver, and support
6178    to retrieve plat_toc_flags
6179  - hisilicon: hikey960: Enable system power off callback
6180  - intel: Enable bridge access, SiP SMC secure register access, and uboot
6181    entrypoint support
6182  - intel: Implement platform specific system reset 2
6183  - intel: Introduce mailbox response length handling
6184  - imx: console: Use CONSOLE_T_BASE for UART base address and generic console_t
6185    data structure
6186  - imx8mm: Provide uart base as build option and add the support for opteed spd
6187    on imx8mq/imx8mm
6188  - imx8qx: Provide debug uart num as build
6189  - imx8qm: Apply clk/pinmux configuration for DEBUG_CONSOLE and provide debug
6190    uart num as build param
6191  - marvell: a8k: Implement platform specific power off and add support for
6192    loading MG CM3 images
6193  - mediatek: mt8183: Add Vmodem/Vcore DVS init level
6194  - qemu: Support optional encryption of BL31 and BL32 images and
6195    ARM_LINUX_KERNEL_AS_BL33 to pass FDT address
6196  - qemu: Define ARMV7_SUPPORTS_VFP
6197  - qemu: Implement PSCI_CPU_OFF and qemu_system_off via semihosting
6198  - renesas: rcar_gen3: Add new board revision for M3ULCB
6199  - rockchip: Enable workaround for erratum 855873, claim a macro to enable hdcp
6200    feature for DP, enable power domains of rk3399 before reset, add support for
6201    UART3 as serial output, and initialize reset and poweroff GPIOs with known
6202    invalid value
6203  - rpi: Implement PSCI CPU_OFF, use MMIO accessor, autodetect Mini-UART vs.
6204    PL011 configuration, and allow using PL011 UART for RPi3/RPi4
6205  - rpi3: Include GPIO driver in all BL stages and use same "clock-less" setup
6206    scheme as RPi4
6207  - rpi3/4: Add support for offlining CPUs
6208  - st: stm32mp1: platform.mk: Support generating multiple images in one build,
6209    migrate to implicit rules, derive map file name from target name, generate
6210    linker script with fixed name, and use PHONY for the appropriate targets
6211  - st: stm32mp1: Add support for SPI-NOR, raw NAND, and SPI-NAND boot device,
6212    QSPI, FMC2 driver
6213  - st: stm32mp1: Use stm32mp_get_ddr_ns_size() function, set XN attribute for
6214    some areas in BL2, dynamically map DDR later and non-cacheable during its
6215    test, add a function to get non-secure DDR size, add DT helper for reg by
6216    name, and add compilation flags for boot devices
6217  - socionext: uniphier: Turn on ENABLE_PIE
6218  - ti: k3: Add PIE support
6219  - xilinx: versal: Add set wakeup source, client wakeup, query data, request
6220    wakeup, PM_INIT_FINALIZE, PM_GET_TRUSTZONE_VERSION, PM IOCTL, support for
6221    suspend related, and Get_ChipID APIs
6222  - xilinx: versal: Implement power down/restart related EEMI, SMC handler for
6223    EEMI, PLL related PM, clock related PM, pin control related PM, reset
6224    related PM, device related PM , APIs
6225  - xilinx: versal: Enable ipi mailbox service
6226  - xilinx: versal: Add get_api_version support and support to send PM API to
6227    PMC using IPI
6228  - xilinx: zynqmp: Add checksum support for IPI data, GET_CALLBACK_DATA
6229    function, support to query max divisor, CLK_SET_RATE_PARENT in gem clock
6230    node, support for custom type flags, LPD WDT clock to the pm_clock
6231    structure, idcodes for new RFSoC silicons ZU48DR and ZU49DR, and id for new
6232    RFSoC device ZU39DR
6233- Security
6234  - Use Speculation Barrier instruction for v8.5+ cores
6235  - Add support for optional firmware encryption feature (experimental).
6236  - Introduce a new `dualroot` chain of trust.
6237  - aarch64: Prevent speculative execution past ERET
6238  - aarch32: Stop speculative execution past exception returns.
6239- SPCI
6240  - Introduced the Secure Partition Manager Dispatcher (SPMD) component as a new
6241    standard service.
6242- Tools
6243  - cert_create: Introduce CoT build option and TBBR CoT makefile, and define
6244    the dualroot CoT
6245  - encrypt_fw: Add firmware authenticated encryption tool
6246  - memory: Add show_memory script that prints a representation of the memory
6247    layout for the latest build
6248
6249### Changed
6250
6251- Arm Architecture
6252  - PIE: Make call to GDT relocation fixup generalized
6253- BL-Specific
6254  - Increase maximum size of BL2 image
6255  - BL31: Discard .dynsym .dynstr .hash sections to make ENABLE_PIE work
6256  - BL31: Split into two separate memory regions
6257  - Unify BL linker scripts and reduce code duplication.
6258- Build System
6259  - Changes to drive cert_create for dualroot CoT
6260  - Enable -Wlogical-op always
6261  - Enable -Wshadow always
6262  - Refactor the warning flags
6263  - PIE: Pass PIE options only to BL31
6264  - Reduce space lost to object alignment
6265  - Set lld as the default linker for Clang builds
6266  - Remove -Wunused-const-variable and -Wpadded warning
6267  - Remove -Wmissing-declarations warning from WARNING1 level
6268- Drivers
6269  - authentication: Necessary fix in drivers to upgrade to mbedtls-2.18.0
6270  - console: Integrate UART base address in generic console_t
6271  - gicv3: Change API for GICR_IPRIORITYR accessors and separate GICD and GICR
6272    accessor functions
6273  - io: Change seek offset to signed long long and panic in case of io setup
6274    failure
6275  - smmu: SMMUv3: Changed retry loop to delay timer
6276  - tbbr: Reduce size of hash and ECDSA key buffers when possible
6277- Library Code
6278  - libc: Consolidate the size_t, unified, and NULL definitions, and unify
6279    intmax_t and uintmax_t on AArch32/64
6280  - ROMLIB: Optimize memory layout when ROMLIB is used
6281  - xlat_tables_v2: Use ARRAY_SIZE in REGISTER_XLAT_CONTEXT_FULL_SPEC, merge
6282    REGISTER_XLAT_CONTEXT\_{FULL_SPEC,RO_BASE_TABLE}, and simplify end address
6283    checks in mmap_add_region_check()
6284- Platforms
6285  - allwinner: Adjust SRAM A2 base to include the ARISC vectors, clean up MMU
6286    setup, reenable USE_COHERENT_MEM, remove unused include path, move the
6287    NOBITS region to SRAM A1, convert AXP803 regulator setup code into a driver,
6288    enable clock before resetting I2C/RSB
6289  - allwinner: h6: power: Switch to using the AXP driver
6290  - allwinner: a64: power: Use fdt_for_each_subnode, remove obsolete register
6291    check, remove duplicate DT check, and make sunxi_turn_off_soc static
6292  - allwinner: Build PMIC bus drivers only in BL31, clean up PMIC-related error
6293    handling, and synchronize PMIC enumerations
6294  - arm/a5ds: Change boot address to point to DDR address
6295  - arm/common: Check for out-of-bound accesses in the platform io policies
6296  - arm/corstone700: Updating the kernel arguments to support initramfs, use
6297    fdts DDR memory and XIP rootfs, and set UART clocks to 32MHz
6298  - arm/fvp: Modify multithreaded dts file of DynamIQ FVPs, slightly bump the
6299    stack size for bl1 and bl2, remove re-definition of topology related build
6300    options, stop reclaiming init code with Clang builds, and map only the
6301    needed DRAM region statically in BL31/SP_MIN
6302  - arm/juno: Maximize space allocated to SCP_BL2
6303  - arm/sgi: Bump bl1 RW limit, mark remote chip shared ram as non-cacheable,
6304    move GIC related constants to board files, include AFF3 affinity in core
6305    position calculation, move bl31_platform_setup to board file, and move
6306    topology information to board folder
6307  - common: Refactor load_auth_image_internal().
6308  - hisilicon: Remove uefi-tools in hikey and hikey960 documentation
6309  - intel: Modify non secure access function, BL31 address mapping, mailbox's
6310    get_config_status, and stratix10 BL31 parameter handling
6311  - intel: Remove un-needed checks for qspi driver r/w and s10 unused source
6312    code
6313  - intel: Change all global sip function to static
6314  - intel: Refactor common platform code
6315  - intel: Create SiP service header file
6316  - marvell: armada: scp_bl2: Allow loading up to 8 images
6317  - marvell: comphy-a3700: Support SGMII COMPHY power off and fix USB3 powering
6318    on when on lane 2
6319  - marvell: Consolidate console register calls
6320  - mediatek: mt8183: Protect 4GB~8GB dram memory, refine GIC driver for low
6321    power scenarios, and switch PLL/CLKSQ/ck_off/axi_26m control to SPM
6322  - qemu: Update flash address map to keep FIP in secure FLASH0
6323  - renesas: rcar_gen3: Update IPL and Secure Monitor Rev.2.0.6, update DDR
6324    setting for H3, M3, M3N, change fixed destination address of BL31 and BL32,
6325    add missing #{address,size}-cells into generated DT, pass DT to OpTee OS,
6326    and move DDR drivers out of staging
6327  - rockchip: Make miniloader ddr_parameter handling optional, cleanup securing
6328    of ddr regions, move secure init to separate file, use base+size for secure
6329    ddr regions, bring TZRAM_SIZE values in lined, and prevent macro expansion
6330    in paths
6331  - rpi: Move plat_helpers.S to common
6332  - rpi3: gpio: Simplify GPIO setup
6333  - rpi4: Skip UART initialisation
6334  - st: stm32m1: Use generic console_t data structure, remove second QSPI flash
6335    instance, update for FMC2 pin muxing, and reduce MAX_XLAT_TABLES to 4
6336  - socionext: uniphier: Make on-chip SRAM and I/O register regions configurable
6337  - socionext: uniphier: Make PSCI related, counter control, UART, pinmon, NAND
6338    controller, and eMMC controller base addresses configurable
6339  - socionext: uniphier: Change block_addressing flag and the return value type
6340    of .is_usb_boot() to bool
6341  - socionext: uniphier: Run BL33 at EL2, call uniphier_scp_is_running() only
6342    when on-chip STM is supported, define PLAT_XLAT_TABLES_DYNAMIC only for BL2,
6343    support read-only xlat tables, use enable_mmu() in common function, shrink
6344    UNIPHIER_ROM_REGION_SIZE, prepare uniphier_soc_info() for next SoC, extend
6345    boot device detection for future SoCs, make all BL images completely
6346    position-independent, make uniphier_mmap_setup() work with PIE, pass SCP
6347    base address as a function parameter, set buffer offset and length for
6348    io_block dynamically, and use more mmap_add_dynamic_region() for loading
6349    images
6350  - spd/trusty: Disable error messages seen during boot, allow gic base to be
6351    specified with GICD_BASE, and allow getting trusty memsize from
6352    BL32_MEM_SIZE instead of TSP_SEC_MEM_SIZE
6353  - ti: k3: common: Enable ARM cluster power down and rename device IDs to be
6354    more consistent
6355  - ti: k3: drivers: ti_sci: Put sequence number in coherent memory and remove
6356    indirect structure of const data
6357  - xilinx: Move ipi mailbox svc to xilinx common
6358  - xilinx: zynqmp: Use GIC framework for warm restart
6359  - xilinx: zynqmp: pm: Move custom clock flags to typeflags, remove
6360    CLK_TOPSW_LSBUS from invalid clock list and rename FPD WDT clock ID
6361  - xilinx: versal: Increase OCM memory size for DEBUG builds and adjust cpu
6362    clock, Move versal_def.h and versal_private to include directory
6363- Tools
6364  - sptool: Updated sptool to accommodate building secure partition packages.
6365
6366### Resolved Issues
6367
6368- Arm Architecture
6369  - Fix crash dump for lower EL
6370- BL-Specific
6371  - Bug fix: Protect TSP prints with lock
6372  - Fix boot failures on some builds linked with ld.lld.
6373- Build System
6374  - Fix clang build if CC is not in the path.
6375  - Fix 'BL stage' comment for build macros
6376- Code Quality
6377  - coverity: Fix various MISRA violations including null pointer violations, C
6378    issues in BL1/BL2/BL31 and FDT helper functions, using boolean essential,
6379    type, and removing unnecessary header file and comparisons to LONG_MAX in
6380    debugfs devfip
6381  - Based on coding guidelines, replace all `unsigned long` depending on if
6382    fixed based on AArch32 or AArch64.
6383  - Unify type of "cpu_idx" and Platform specific defines across PSCI module.
6384- Drivers
6385  - auth: Necessary fix in drivers to upgrade to mbedtls-2.18.0
6386  - delay_timer: Fix non-standard frequency issue in udelay
6387  - gicv3: Fix compiler dependent behavior
6388  - gic600: Fix include ordering according to the coding style and power up
6389    sequence
6390- Library Code
6391  - el3_runtime: Fix stack pointer maintenance on EA handling path, fixup
6392    'cm_setup_context' prototype, and adds TPIDR_EL2 register to the context
6393    save restore routines
6394  - libc: Fix SIZE_MAX on AArch32
6395  - locks: T589: Fix insufficient ordering guarantees in bakery lock
6396  - pmf: Fix 'tautological-constant-compare' error, Make the runtime
6397    instrumentation work on AArch32, and Simplify PMF helper macro definitions
6398    across header files
6399  - xlat_tables_v2: Fix assembler warning of PLAT_RO_XLAT_TABLES
6400- Platforms
6401  - allwinner: Fix H6 GPIO and CCU memory map addresses and incorrect ARISC code
6402    patch offset check
6403  - arm/a5ds: Correct system freq and Cache Writeback Granule, and cleanup
6404    enable-method in devicetree
6405  - arm/fvp: Fix incorrect GIC mapping, BL31 load address and image size for
6406    RESET_TO_BL31=1, topology description of cpus for DynamIQ based FVP, and
6407    multithreaded FVP power domain tree
6408  - arm/fvp: spm-mm: Correcting instructions to build SPM for FVP
6409  - arm/common: Fix ROTPK hash generation for ECDSA encryption, BL2 bug in
6410    dynamic configuration initialisation, and current RECLAIM_INIT_CODE behavior
6411  - arm/rde1edge: Fix incorrect topology tree description
6412  - arm/sgi: Fix the incorrect check for SCMI channel ID
6413  - common: Flush dcache when storing timestamp
6414  - intel: Fix UEFI decompression issue, memory calibration, SMC SIP service,
6415    mailbox config return status, mailbox driver logic, FPGA manager on
6416    reconfiguration, and mailbox send_cmd issue
6417  - imx: Fix shift-overflow errors, the rdc memory region slot's offset,
6418    multiple definition of ipc_handle, missing inclusion of cdefs.h, and correct
6419    the SGIs that used for secure interrupt
6420  - mediatek: mt8183: Fix AARCH64 init fail on CPU0
6421  - rockchip: Fix definition of struct param_ddr_usage
6422  - rpi4: Fix documentation of armstub config entry
6423  - st: Correct io possible NULL pointer dereference and device_size type, nand
6424    xor_ecc.val assigned value, static analysis tool issues, and fix incorrect
6425    return value and correctly check pwr-regulators node
6426  - xilinx: zynqmp: Correct syscnt freq for QEMU and fix clock models and IDs of
6427    GEM-related clocks
6428
6429### Known Issues
6430
6431- Build System
6432  - dtb: DTB creation not supported when building on a Windows host.
6433
6434    This step in the build process is skipped when running on a Windows host. A
6435    known issue from the 1.6 release.
6436
6437  - Intermittent assertion firing `ASSERT: services/spd/tspd/tspd_main.c:105`
6438- Coverity
6439  - Intermittent Race condition in Coverity Jenkins Build Job
6440- Platforms
6441  - arm/juno: System suspend from Linux does not function as documented in the
6442    user guide
6443
6444    Following the instructions provided in the user guide document does not
6445    result in the platform entering system suspend state as expected. A message
6446    relating to the hdlcd driver failing to suspend will be emitted on the Linux
6447    terminal.
6448
6449  - mediatek/mt6795: This platform does not build in this release
6450
6451## [2.2.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.1..refs/tags/v2.2) (2019-10-22)
6452
6453### New Features
6454
6455- Architecture
6456  - Enable Pointer Authentication (PAuth) support for Secure World
6457
6458    - Adds support for ARMv8.3-PAuth in BL1 SMC calls and BL2U image for
6459      firmware updates.
6460
6461  - Enable Memory Tagging Extension (MTE) support in both secure and non-secure
6462    worlds
6463
6464    - Adds support for the new Memory Tagging Extension arriving in ARMv8.5. MTE
6465      support is now enabled by default on systems that support it at EL0.
6466    - To enable it at ELx for both the non-secure and the secure world, the
6467      compiler flag `CTX_INCLUDE_MTE_REGS` includes register saving and
6468      restoring when necessary in order to prevent information leakage between
6469      the worlds.
6470
6471  - Add support for Branch Target Identification (BTI)
6472- Build System
6473  - Modify FVP makefile for CPUs that support both AArch64/32
6474  - AArch32: Allow compiling with soft-float toolchain
6475  - Makefile: Add default warning flags
6476  - Add Makefile check for PAuth and AArch64
6477  - Add compile-time errors for HW_ASSISTED_COHERENCY flag
6478  - Apply compile-time check for AArch64-only CPUs
6479  - build_macros: Add mechanism to prevent bin generation.
6480  - Add support for default stack-protector flag
6481  - spd: opteed: Enable NS_TIMER_SWITCH
6482  - plat/arm: Skip BL2U if RESET_TO_SP_MIN flag is set
6483  - Add new build option to let each platform select which implementation of
6484    spinlocks it wants to use
6485- CPU Support
6486  - DSU: Workaround for erratum 798953 and 936184
6487  - Neoverse N1: Force cacheable atomic to near atomic
6488  - Neoverse N1: Workaround for erratum 1073348, 1130799, 1165347, 1207823,
6489    1220197, 1257314, 1262606, 1262888, 1275112, 1315703, 1542419
6490  - Neoverse Zeus: Apply the MSR SSBS instruction
6491  - cortex-Hercules/HerculesAE: Support added for Cortex-Hercules and
6492    Cortex-HerculesAE CPUs
6493  - cortex-Hercules/HerculesAE: Enable AMU for Cortex-Hercules and
6494    Cortex-HerculesAE
6495  - cortex-a76AE: Support added for Cortex-A76AE CPU
6496  - cortex-a76: Workaround for erratum 1257314, 1262606, 1262888, 1275112,
6497    1286807
6498  - cortex-a65/a65AE: Support added for Cortex-A65 and Cortex-A65AE CPUs
6499  - cortex-a65: Enable AMU for Cortex-A65
6500  - cortex-a55: Workaround for erratum 1221012
6501  - cortex-a35: Workaround for erratum 855472
6502  - cortex-a9: Workaround for erratum 794073
6503- Drivers
6504  - console: Allow the console to register multiple times
6505
6506  - delay: Timeout detection support
6507
6508  - gicv3: Enabled multi-socket GIC redistributor frame discovery and migrated
6509    ARM platforms to the new API
6510
6511    - Adds `gicv3_rdistif_probe` function that delegates the responsibility of
6512      discovering the corresponding redistributor base frame to each CPU itself.
6513
6514  - sbsa: Add SBSA watchdog driver
6515
6516  - st/stm32_hash: Add HASH driver
6517
6518  - ti/uart: Add an AArch32 variant
6519- Library at ROM (romlib)
6520  - Introduce BTI support in Library at ROM (romlib)
6521- New Platforms Support
6522  - amlogic: g12a: New platform support added for the S905X2 (G12A) platform
6523  - amlogic: meson/gxl: New platform support added for Amlogic Meson S905x (GXL)
6524  - arm/a5ds: New platform support added for A5 DesignStart
6525  - arm/corstone: New platform support added for Corstone-700
6526  - intel: New platform support added for Agilex
6527  - mediatek: New platform support added for MediaTek mt8183
6528  - qemu/qemu_sbsa: New platform support added for QEMU SBSA platform
6529  - renesas/rcar_gen3: plat: New platform support added for D3
6530  - rockchip: New platform support added for px30
6531  - rockchip: New platform support added for rk3288
6532  - rpi: New platform support added for Raspberry Pi 4
6533- Platforms
6534  - arm/common: Introduce wrapper functions to setup secure watchdog
6535  - arm/fvp: Add Delay Timer driver to BL1 and BL31 and option for defining
6536    platform DRAM2 base
6537  - arm/fvp: Add Linux DTS files for 32 bit threaded FVPs
6538  - arm/n1sdp: Add code for DDR ECC enablement and BL33 copy to DDR, Initialise
6539    CNTFRQ in Non Secure CNTBaseN
6540  - arm/juno: Use shared mbedtls heap between BL1 and BL2 and add basic support
6541    for dynamic config
6542  - imx: Basic support for PicoPi iMX7D, rdc module init, caam module init,
6543    aipstz init, IMX_SIP_GET_SOC_INFO, IMX_SIP_BUILDINFO added
6544  - intel: Add ncore ccu driver
6545  - mediatek/mt81\*: Use new bl31_params_parse() helper
6546  - nvidia: tegra: Add support for multi console interface
6547  - qemu/qemu_sbsa: Adding memory mapping for both FLASH0/FLASH1
6548  - qemu: Added gicv3 support, new console interface in AArch32, and
6549    sub-platforms
6550  - renesas/rcar_gen3: plat: Add R-Car V3M support, new board revision for
6551    H3ULCB, DBSC4 setting before self-refresh mode
6552  - socionext/uniphier: Support console based on multi-console
6553  - st: stm32mp1: Add OP-TEE, Avenger96, watchdog, LpDDR3, authentication
6554    support and general SYSCFG management
6555  - ti/k3: common: Add support for J721E, Use coherent memory for shared data,
6556    Trap all asynchronous bus errors to EL3
6557  - xilinx/zynqmp: Add support for multi console interface, Initialize IPI table
6558    from zynqmp_config_setup()
6559- PSCI
6560  - Adding new optional PSCI hook `pwr_domain_on_finish_late`
6561    - This PSCI hook `pwr_domain_on_finish_late` is similar to
6562      `pwr_domain_on_finish` but is guaranteed to be invoked when the respective
6563      core and cluster are participating in coherency.
6564- Security
6565  - Speculative Store Bypass Safe (SSBS): Further enhance protection against
6566    Spectre variant 4 by disabling speculative loads/stores (SPSR.SSBS bit) by
6567    default.
6568  - UBSAN support and handlers
6569    - Adds support for the Undefined Behaviour sanitizer. There are two types of
6570      support offered - minimalistic trapping support which essentially
6571      immediately crashes on undefined behaviour and full support with full
6572      debug messages.
6573- Tools
6574  - cert_create: Add support for bigger RSA key sizes (3KB and 4KB), previously
6575    the maximum size was 2KB.
6576  - fiptool: Add support to build fiptool on Windows.
6577
6578### Changed
6579
6580- Architecture
6581  - Refactor ARMv8.3 Pointer Authentication support code
6582  - backtrace: Strip PAC field when PAUTH is enabled
6583  - Prettify crash reporting output on AArch64.
6584  - Rework smc_unknown return code path in smc_handler
6585    - Leverage the existing `el3_exit()` return routine for smc_unknown return
6586      path rather than a custom set of instructions.
6587- BL-Specific
6588  - Invalidate dcache build option for BL2 entry at EL3
6589  - Add missing support for BL2_AT_EL3 in XIP memory
6590- Boot Flow
6591  - Add helper to parse BL31 parameters (both versions)
6592  - Factor out cross-BL API into export headers suitable for 3rd party code
6593  - Introduce lightweight BL platform parameter library
6594- Drivers
6595  - auth: Memory optimization for Chain of Trust (CoT) description
6596  - bsec: Move bsec_mode_is_closed_device() service to platform
6597  - cryptocell: Move Cryptocell specific API into driver
6598  - gicv3: Prevent pending G1S interrupt from becoming G0 interrupt
6599  - mbedtls: Remove weak heap implementation
6600  - mmc: Increase delay between ACMD41 retries
6601  - mmc: stm32_sdmmc2: Correctly manage block size
6602  - mmc: stm32_sdmmc2: Manage max-frequency property from DT
6603  - synopsys/emmc: Do not change FIFO TH as this breaks some platforms
6604  - synopsys: Update synopsys drivers to not rely on undefined overflow
6605    behaviour
6606  - ufs: Extend the delay after reset to wait for some slower chips
6607- Platforms
6608  - amlogic/meson/gxl: Remove BL2 dependency from BL31
6609  - arm/common: Shorten the Firmware Update (FWU) process
6610  - arm/fvp: Remove GIC initialisation from secondary core cold boot
6611  - arm/sgm: Temporarily disable shared Mbed TLS heap for SGM
6612  - hisilicon: Update hisilicon drivers to not rely on undefined overflow
6613    behaviour
6614  - imx: imx8: Replace PLAT_IMX8\* with PLAT_imx8\*, remove duplicated linker
6615    symbols and deprecated code include, keep only IRQ 32 unmasked, enable all
6616    power domain by default
6617  - marvell: Prevent SError accessing PCIe link, Switch to xlat_tables_v2, do
6618    not rely on argument passed via smc, make sure that comphy init will use
6619    correct address
6620  - mediatek: mt8173: Refactor RTC and PMIC drivers
6621  - mediatek: mt8173: Apply MULTI_CONSOLE framework
6622  - nvidia: Tegra: memctrl_v2: fix "overflow before widen" coverity issue
6623  - qemu: Simplify the image size calculation, Move and generalise FDT PSCI
6624    fixup, move gicv2 codes to separate file
6625  - renesas/rcar_gen3: Convert to multi-console API, update QoS setting, Update
6626    IPL and Secure Monitor Rev2.0.4, Change to restore timer counter value at
6627    resume, Update DDR setting rev.0.35, qos: change subslot cycle, Change
6628    periodic write DQ training option.
6629  - rockchip: Allow SOCs with undefined wfe check bits, Streamline and complete
6630    UARTn_BASE macros, drop rockchip-specific imported linker symbols for bl31,
6631    Disable binary generation for all SOCs, Allow console device to be set by
6632    DTB, Use new bl31_params_parse functions
6633  - rpi/rpi3: Move shared rpi3 files into common directory
6634  - socionext/uniphier: Set CONSOLE_FLAG_TRANSLATE_CRLF and clean up console
6635    driver
6636  - socionext/uniphier: Replace DIV_ROUND_UP() with div_round_up() from
6637    utils_def.h
6638  - st/stm32mp: Split stm32mp_io_setup function, move
6639    stm32_get_gpio_bank_clock() to private file, correctly handle Clock
6640    Spreading Generator, move oscillator functions to generic file, realign
6641    device tree files with internal devs, enable RTCAPB clock for dual-core
6642    chips, use a common function to check spinlock is available, move
6643    check_header() to common code
6644  - ti/k3: Enable SEPARATE_CODE_AND_RODATA by default, Remove shared RAM space,
6645    Drop \_ADDRESS from K3_USART_BASE to match other defines, Remove MSMC port
6646    definitions, Allow USE_COHERENT_MEM for K3, Set L2 latency on A72 cores
6647- PSCI
6648  - PSCI: Lookup list of parent nodes to lock only once
6649- Secure Partition Manager (SPM): SPCI Prototype
6650  - Fix service UUID lookup
6651  - Adjust size of virtual address space per partition
6652  - Refactor xlat context creation
6653  - Move shim layer to TTBR1_EL1
6654  - Ignore empty regions in resource description
6655- Security
6656  - Refactor SPSR initialisation code
6657  - SMMUv3: Abort DMA transactions
6658    - For security DMA should be blocked at the SMMU by default unless
6659      explicitly enabled for a device. SMMU is disabled after reset with all
6660      streams bypassing the SMMU, and abortion of all incoming transactions
6661      implements a default deny policy on reset.
6662    - Moves `bl1_platform_setup()` function from arm_bl1_setup.c to FVP
6663      platforms' fvp_bl1_setup.c and fvp_ve_bl1_setup.c files.
6664- Tools
6665  - cert_create: Remove RSA PKCS#1 v1.5 support
6666
6667### Resolved Issues
6668
6669- Architecture
6670  - Fix the CAS spinlock implementation by adding a missing DSB in
6671    `spin_unlock()`
6672  - AArch64: Fix SCTLR bit definitions
6673    - Removes incorrect `SCTLR_V_BIT` definition and adds definitions for
6674      ARMv8.3-Pauth `EnIB`, `EnDA` and `EnDB` bits.
6675  - Fix restoration of PAuth context
6676    - Replace call to `pauth_context_save()` with `pauth_context_restore()` in
6677      case of unknown SMC call.
6678- BL-Specific Issues
6679  - Fix BL31 crash reporting on AArch64 only platforms
6680- Build System
6681  - Remove several warnings reported with W=2 and W=1
6682- Code Quality Issues
6683  - SCTLR and ACTLR are 32-bit for AArch32 and 64-bit for AArch64
6684  - Unify type of "cpu_idx" across PSCI module.
6685  - Assert if power level value greater then PSCI_INVALID_PWR_LVL
6686  - Unsigned long should not be used as per coding guidelines
6687  - Reduce the number of memory leaks in cert_create
6688  - Fix type of cot_desc_ptr
6689  - Use explicit-width data types in AAPCS parameter structs
6690  - Add python configuration for editorconfig
6691  - BL1: Fix type consistency
6692  - Enable -Wshift-overflow=2 to check for undefined shift behavior
6693  - Updated upstream platforms to not rely on undefined overflow behaviour
6694- Coverity Quality Issues
6695  - Remove GGC ignore -Warray-bounds
6696  - Fix Coverity #261967, Infinite loop
6697  - Fix Coverity #343017, Missing unlock
6698  - Fix Coverity #343008, Side affect in assertion
6699  - Fix Coverity #342970, Uninitialized scalar variable
6700- CPU Support
6701  - cortex-a12: Fix MIDR mask
6702- Drivers
6703  - console: Remove Arm console unregister on suspend
6704  - gicv3: Fix support for full SPI range
6705  - scmi: Fix wrong payload length
6706- Library Code
6707  - libc: Fix sparse warning for \_\_assert()
6708  - libc: Fix memchr implementation
6709- Platforms
6710  - rpi: rpi3: Fix compilation error when stack protector is enabled
6711  - socionext/uniphier: Fix compilation fail for SPM support build config
6712  - st/stm32mp1: Fix TZC400 configuration against non-secure DDR
6713  - ti/k3: common: Fix RO data area size calculation
6714- Security
6715  - AArch32: Disable Secure Cycle Counter
6716    - Changes the implementation for disabling Secure Cycle Counter. For ARMv8.5
6717      the counter gets disabled by setting `SDCR.SCCD` bit on CPU cold/warm
6718      boot. For the earlier architectures PMCR register is saved/restored on
6719      secure world entry/exit from/to Non-secure state, and cycle counting gets
6720      disabled by setting PMCR.DP bit.
6721  - AArch64: Disable Secure Cycle Counter
6722    - For ARMv8.5 the counter gets disabled by setting `MDCR_El3.SCCD` bit on
6723      CPU cold/warm boot. For the earlier architectures PMCR_EL0 register is
6724      saved/restored on secure world entry/exit from/to Non-secure state, and
6725      cycle counting gets disabled by setting PMCR_EL0.DP bit.
6726
6727### Deprecations
6728
6729- Common Code
6730  - Remove MULTI_CONSOLE_API flag and references to it
6731  - Remove deprecated `plat_crash_console_*`
6732  - Remove deprecated interfaces `get_afflvl_shift`, `mpidr_mask_lower_afflvls`,
6733    `eret`
6734  - AARCH32/AARCH64 macros are now deprecated in favor of `__aarch64__`
6735  - `__ASSEMBLY__` macro is now deprecated in favor of `__ASSEMBLER__`
6736- Drivers
6737  - console: Removed legacy console API
6738  - console: Remove deprecated finish_console_register
6739  - tzc: Remove deprecated types `tzc_action_t` and `tzc_region_attributes_t`
6740- Secure Partition Manager (SPM):
6741  - Prototype SPCI-based SPM (services/std_svc/spm) will be replaced with
6742    alternative methods of secure partitioning support.
6743
6744### Known Issues
6745
6746- Build System Issues
6747  - dtb: DTB creation not supported when building on a Windows host.
6748
6749    This step in the build process is skipped when running on a Windows host. A
6750    known issue from the 1.6 release.
6751- Platform Issues
6752  - arm/juno: System suspend from Linux does not function as documented in the
6753    user guide
6754
6755    Following the instructions provided in the user guide document does not
6756    result in the platform entering system suspend state as expected. A message
6757    relating to the hdlcd driver failing to suspend will be emitted on the Linux
6758    terminal.
6759
6760  - mediatek/mt6795: This platform does not build in this release
6761
6762## [2.1.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v2.0..refs/tags/v2.1) (2019-03-29)
6763
6764### New Features
6765
6766- Architecture
6767
6768  - Support for ARMv8.3 pointer authentication in the normal and secure worlds
6769
6770    The use of pointer authentication in the normal world is enabled whenever
6771    architectural support is available, without the need for additional build
6772    flags.
6773
6774    Use of pointer authentication in the secure world remains an experimental
6775    configuration at this time. Using both the `ENABLE_PAUTH` and
6776    `CTX_INCLUDE_PAUTH_REGS` build flags, pointer authentication can be enabled
6777    in EL3 and S-EL1/0.
6778
6779    See the {ref}`Firmware Design` document for additional details on the use of
6780    pointer authentication.
6781
6782  - Enable Data Independent Timing (DIT) in EL3, where supported
6783
6784- Build System
6785
6786  - Support for BL-specific build flags
6787
6788  - Support setting compiler target architecture based on `ARM_ARCH_MINOR` build
6789    option.
6790
6791  - New `RECLAIM_INIT_CODE` build flag:
6792
6793    A significant amount of the code used for the initialization of BL31 is not
6794    needed again after boot time. In order to reduce the runtime memory
6795    footprint, the memory used for this code can be reclaimed after
6796    initialization.
6797
6798    Certain boot-time functions were marked with the `__init` attribute to
6799    enable this reclamation.
6800
6801- CPU Support
6802
6803  - cortex-a76: Workaround for erratum 1073348
6804  - cortex-a76: Workaround for erratum 1220197
6805  - cortex-a76: Workaround for erratum 1130799
6806  - cortex-a75: Workaround for erratum 790748
6807  - cortex-a75: Workaround for erratum 764081
6808  - cortex-a73: Workaround for erratum 852427
6809  - cortex-a73: Workaround for erratum 855423
6810  - cortex-a57: Workaround for erratum 817169
6811  - cortex-a57: Workaround for erratum 814670
6812  - cortex-a55: Workaround for erratum 903758
6813  - cortex-a55: Workaround for erratum 846532
6814  - cortex-a55: Workaround for erratum 798797
6815  - cortex-a55: Workaround for erratum 778703
6816  - cortex-a55: Workaround for erratum 768277
6817  - cortex-a53: Workaround for erratum 819472
6818  - cortex-a53: Workaround for erratum 824069
6819  - cortex-a53: Workaround for erratum 827319
6820  - cortex-a17: Workaround for erratum 852423
6821  - cortex-a17: Workaround for erratum 852421
6822  - cortex-a15: Workaround for erratum 816470
6823  - cortex-a15: Workaround for erratum 827671
6824
6825- Documentation
6826
6827  - Exception Handling Framework documentation
6828  - Library at ROM (romlib) documentation
6829  - RAS framework documentation
6830  - Coding Guidelines document
6831
6832- Drivers
6833
6834  - ccn: Add API for setting and reading node registers
6835
6836    - Adds `ccn_read_node_reg` function
6837    - Adds `ccn_write_node_reg` function
6838
6839  - partition: Support MBR partition entries
6840
6841  - scmi: Add `plat_css_get_scmi_info` function
6842
6843    Adds a new API `plat_css_get_scmi_info` which lets the platform register a
6844    platform-specific instance of `scmi_channel_plat_info_t` and remove the
6845    default values
6846
6847  - tzc380: Add TZC-380 TrustZone Controller driver
6848
6849  - tzc-dmc620: Add driver to manage the TrustZone Controller within the DMC-620
6850    Dynamic Memory Controller
6851
6852- Library at ROM (romlib)
6853
6854  - Add platform-specific jump table list
6855
6856  - Allow patching of romlib functions
6857
6858    This change allows patching of functions in the romlib. This can be done by
6859    adding "patch" at the end of the jump table entry for the function that
6860    needs to be patched in the file jmptbl.i.
6861
6862- Library Code
6863
6864  - Support non-LPAE-enabled MMU tables in AArch32
6865  - mmio: Add `mmio_clrsetbits_16` function
6866    - 16-bit variant of `mmio_clrsetbits`
6867  - object_pool: Add Object Pool Allocator
6868    - Manages object allocation using a fixed-size static array
6869    - Adds `pool_alloc` and `pool_alloc_n` functions
6870    - Does not provide any functions to free allocated objects (by design)
6871  - libc: Added `strlcpy` function
6872  - libc: Import `strrchr` function from FreeBSD
6873  - xlat_tables: Add support for ARMv8.4-TTST
6874  - xlat_tables: Support mapping regions without an explicitly specified VA
6875
6876- Math
6877
6878  - Added softudiv macro to support software division
6879
6880- Memory Partitioning And Monitoring (MPAM)
6881
6882  - Enabled MPAM EL2 traps (`MPAMHCR_EL2` and `MPAM_EL2`)
6883
6884- Platforms
6885
6886  - amlogic: Add support for Meson S905 (GXBB)
6887
6888  - arm/fvp_ve: Add support for FVP Versatile Express platform
6889
6890  - arm/n1sdp: Add support for Neoverse N1 System Development platform
6891
6892  - arm/rde1edge: Add support for Neoverse E1 platform
6893
6894  - arm/rdn1edge: Add support for Neoverse N1 platform
6895
6896  - arm: Add support for booting directly to Linux without an intermediate
6897    loader (AArch32)
6898
6899  - arm/juno: Enable new CPU errata workarounds for A53 and A57
6900
6901  - arm/juno: Add romlib support
6902
6903    Building a combined BL1 and ROMLIB binary file with the correct page
6904    alignment is now supported on the Juno platform. When `USE_ROMLIB` is set
6905    for Juno, it generates the combined file `bl1_romlib.bin` which needs to be
6906    used instead of bl1.bin.
6907
6908  - intel/stratix: Add support for Intel Stratix 10 SoC FPGA platform
6909
6910  - marvell: Add support for Armada-37xx SoC platform
6911
6912  - nxp: Add support for i.MX8M and i.MX7 Warp7 platforms
6913
6914  - renesas: Add support for R-Car Gen3 platform
6915
6916  - xilinx: Add support for Versal ACAP platforms
6917
6918- Position-Independent Executable (PIE)
6919
6920  PIE support has initially been added to BL31. The `ENABLE_PIE` build flag is
6921  used to enable or disable this functionality as required.
6922
6923- Secure Partition Manager
6924
6925  - New SPM implementation based on SPCI Alpha 1 draft specification
6926
6927    A new version of SPM has been implemented, based on the SPCI (Secure
6928    Partition Client Interface) and SPRT (Secure Partition Runtime) draft
6929    specifications.
6930
6931    The new implementation is a prototype that is expected to undergo intensive
6932    rework as the specifications change. It has basic support for multiple
6933    Secure Partitions and Resource Descriptions.
6934
6935    The older version of SPM, based on MM (ARM Management Mode Interface
6936    Specification), is still present in the codebase. A new build flag, `SPM_MM`
6937    has been added to allow selection of the desired implementation. This flag
6938    defaults to 1, selecting the MM-based implementation.
6939
6940- Security
6941
6942  - Spectre Variant-1 mitigations (`CVE-2017-5753`)
6943
6944  - Use Speculation Store Bypass Safe (SSBS) functionality where available
6945
6946    Provides mitigation against `CVE-2018-19440` (Not saving x0 to x3 registers
6947    can leak information from one Normal World SMC client to another)
6948
6949### Changed
6950
6951- Build System
6952
6953  - Warning levels are now selectable with `W=<1,2,3>`
6954  - Removed unneeded include paths in PLAT_INCLUDES
6955  - "Warnings as errors" (Werror) can be disabled using `E=0`
6956  - Support totally quiet output with `-s` flag
6957  - Support passing options to checkpatch using `CHECKPATCH_OPTS=<opts>`
6958  - Invoke host compiler with `HOSTCC / HOSTCCFLAGS` instead of `CC / CFLAGS`
6959  - Make device tree pre-processing similar to U-boot/Linux by:
6960    - Creating separate `CPPFLAGS` for DT preprocessing so that compiler options
6961      specific to it can be accommodated.
6962    - Replacing `CPP` with `PP` for DT pre-processing
6963
6964- CPU Support
6965
6966  - Errata report function definition is now mandatory for CPU support files
6967
6968    CPU operation files must now define a `<name>_errata_report` function to
6969    print errata status. This is no longer a weak reference.
6970
6971- Documentation
6972
6973  - Migrated some content from GitHub wiki to `docs/` directory
6974  - Security advisories now have CVE links
6975  - Updated copyright guidelines
6976
6977- Drivers
6978
6979  - console: The `MULTI_CONSOLE_API` framework has been rewritten in C
6980
6981  - console: Ported multi-console driver to AArch32
6982
6983  - gic: Remove 'lowest priority' constants
6984
6985    Removed `GIC_LOWEST_SEC_PRIORITY` and `GIC_LOWEST_NS_PRIORITY`. Platforms
6986    should define these if required, or instead determine the correct priority
6987    values at runtime.
6988
6989  - delay_timer: Check that the Generic Timer extension is present
6990
6991  - mmc: Increase command reply timeout to 10 milliseconds
6992
6993  - mmc: Poll eMMC device status to ensure `EXT_CSD` command completion
6994
6995  - mmc: Correctly check return code from `mmc_fill_device_info`
6996
6997- External Libraries
6998
6999  - libfdt: Upgraded from 1.4.2 to 1.4.6-9
7000
7001  >
7002
7003  - mbed TLS: Upgraded from 2.12 to 2.16
7004
7005  >
7006
7007  This change incorporates fixes for security issues that should be reviewed to
7008  determine if they are relevant for software implementations using Trusted
7009  Firmware-A. See the [mbed TLS releases] page for details on changes from the
7010  2.12 to the 2.16 release.
7011
7012- Library Code
7013
7014  - compiler-rt: Updated `lshrdi3.c` and `int_lib.h` with changes from LLVM
7015    master branch (r345645)
7016  - cpu: Updated macro that checks need for `CVE-2017-5715` mitigation
7017  - libc: Made setjmp and longjmp C standard compliant
7018  - libc: Allowed overriding the default libc (use `OVERRIDE_LIBC`)
7019  - libc: Moved setjmp and longjmp to the `libc/` directory
7020
7021- Platforms
7022
7023  - Removed Mbed TLS dependency from plat_bl_common.c
7024
7025  - arm: Removed unused `ARM_MAP_BL_ROMLIB` macro
7026
7027  - arm: Removed `ARM_BOARD_OPTIMISE_MEM` feature and build flag
7028
7029  - arm: Moved several components into `drivers/` directory
7030
7031    This affects the SDS, SCP, SCPI, MHU and SCMI components
7032
7033  - arm/juno: Increased maximum BL2 image size to `0xF000`
7034
7035    This change was required to accommodate a larger `libfdt` library
7036
7037- SCMI
7038
7039  - Optimized bakery locks when hardware-assisted coherency is enabled using the
7040    `HW_ASSISTED_COHERENCY` build flag
7041
7042- SDEI
7043
7044  - Added support for unconditionally resuming secure world execution after {{
7045    SDEI }} event processing completes
7046
7047    {{ SDEI }} interrupts, although targeting EL3, occur on behalf of the
7048    non-secure world, and may have higher priority than secure world interrupts.
7049    Therefore they might preempt secure execution and yield execution to the
7050    non-secure {{ SDEI }} handler. Upon completion of {{ SDEI }} event handling,
7051    resume secure execution if it was preempted.
7052
7053- Translation Tables (XLAT)
7054
7055  - Dynamically detect need for `Common not Private (TTBRn_ELx.CnP)` bit
7056
7057    Properly handle the case where `ARMv8.2-TTCNP` is implemented in a CPU that
7058    does not implement all mandatory v8.2 features (and so must claim to
7059    implement a lower architecture version).
7060
7061### Resolved Issues
7062
7063- Architecture
7064  - Incorrect check for SSBS feature detection
7065  - Unintentional register clobber in AArch32 reset_handler function
7066- Build System
7067  - Dependency issue during DTB image build
7068  - Incorrect variable expansion in Arm platform makefiles
7069  - Building on Windows with verbose mode (`V=1`) enabled is broken
7070  - AArch32 compilation flags is missing `$(march32-directive)`
7071- BL-Specific Issues
7072  - bl2: `uintptr_t is not defined` error when `BL2_IN_XIP_MEM` is defined
7073  - bl2: Missing prototype warning in `bl2_arch_setup`
7074  - bl31: Omission of Global Offset Table (GOT) section
7075- Code Quality Issues
7076  - Multiple MISRA compliance issues
7077  - Potential NULL pointer dereference (Coverity-detected)
7078- Drivers
7079  - mmc: Local declaration of `scr` variable causes a cache issue when
7080    invalidating after the read DMA transfer completes
7081  - mmc: `ACMD41` does not send voltage information during initialization,
7082    resulting in the command being treated as a query. This prevents the command
7083    from initializing the controller.
7084  - mmc: When checking device state using `mmc_device_state()` there are no
7085    retries attempted in the event of an error
7086  - ccn: Incorrect Region ID calculation for RN-I nodes
7087  - console: `Fix MULTI_CONSOLE_API` when used as a crash console
7088  - partition: Improper NULL checking in gpt.c
7089  - partition: Compilation failure in `VERBOSE` mode (`V=1`)
7090- Library Code
7091  - common: Incorrect check for Address Authentication support
7092
7093  - xlat: Fix XLAT_V1 / XLAT_V2 incompatibility
7094
7095    The file `arm_xlat_tables.h` has been renamed to `xlat_tables_compat.h` and
7096    has been moved to a common folder. This header can be used to guarantee
7097    compatibility, as it includes the correct header based on
7098    `XLAT_TABLES_LIB_V2`.
7099
7100  - xlat: armclang unused-function warning on `xlat_clean_dcache_range`
7101
7102  - xlat: Invalid `mm_cursor` checks in `mmap_add` and `mmap_add_ctx`
7103
7104  - sdei: Missing `context.h` header
7105- Platforms
7106  - common: Missing prototype warning for `plat_log_get_prefix`
7107
7108  - arm: Insufficient maximum BL33 image size
7109
7110  - arm: Potential memory corruption during BL2-BL31 transition
7111
7112    On Arm platforms, the BL2 memory can be overlaid by BL31/BL32. The memory
7113    descriptors describing the list of executable images are created in BL2 R/W
7114    memory, which could be possibly corrupted later on by BL31/BL32 due to
7115    overlay. This patch creates a reserved location in SRAM for these
7116    descriptors and are copied over by BL2 before handing over to next BL image.
7117
7118  - juno: Invalid behaviour when `CSS_USE_SCMI_SDS_DRIVER` is not set
7119
7120    In `juno_pm.c` the `css_scmi_override_pm_ops` function was used regardless
7121    of whether the build flag was set. The original behaviour has been restored
7122    in the case where the build flag is not set.
7123- Tools
7124  - fiptool: Incorrect UUID parsing of blob parameters
7125  - doimage: Incorrect object rules in Makefile
7126
7127### Deprecations
7128
7129- Common Code
7130  - `plat_crash_console_init` function
7131  - `plat_crash_console_putc` function
7132  - `plat_crash_console_flush` function
7133  - `finish_console_register` macro
7134- AArch64-specific Code
7135  - helpers: `get_afflvl_shift`
7136  - helpers: `mpidr_mask_lower_afflvls`
7137  - helpers: `eret`
7138- Secure Partition Manager (SPM)
7139  - Boot-info structure
7140
7141### Known Issues
7142
7143- Build System Issues
7144  - dtb: DTB creation not supported when building on a Windows host.
7145
7146    This step in the build process is skipped when running on a Windows host. A
7147    known issue from the 1.6 release.
7148- Platform Issues
7149  - arm/juno: System suspend from Linux does not function as documented in the
7150    user guide
7151
7152    Following the instructions provided in the user guide document does not
7153    result in the platform entering system suspend state as expected. A message
7154    relating to the hdlcd driver failing to suspend will be emitted on the Linux
7155    terminal.
7156
7157  - arm/juno: The firmware update use-cases do not work with motherboard
7158    firmware version \< v1.5.0 (the reset reason is not preserved). The Linaro
7159    18.04 release has MB v1.4.9. The MB v1.5.0 is available in Linaro 18.10
7160    release.
7161
7162  - mediatek/mt6795: This platform does not build in this release
7163
7164## [2.0.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.6..refs/tags/v2.0) (2018-10-02)
7165
7166### New Features
7167
7168- Removal of a number of deprecated APIs
7169
7170  - A new Platform Compatibility Policy document has been created which
7171    references a wiki page that maintains a listing of deprecated interfaces and
7172    the release after which they will be removed.
7173  - All deprecated interfaces except the MULTI_CONSOLE_API have been removed
7174    from the code base.
7175  - Various Arm and partner platforms have been updated to remove the use of
7176    removed APIs in this release.
7177  - This release is otherwise unchanged from 1.6 release
7178
7179### Issues resolved since last release
7180
7181- No issues known at 1.6 release resolved in 2.0 release
7182
7183### Known Issues
7184
7185- DTB creation not supported when building on a Windows host. This step in the
7186  build process is skipped when running on a Windows host. Known issue from 1.6
7187  version.
7188- As a result of removal of deprecated interfaces the Nvidia Tegra, Marvell
7189  Armada 8K and MediaTek MT6795 platforms do not build in this release. Also
7190  MediaTek MT8173, NXP QorIQ LS1043A, NXP i.MX8QX, NXP i.MX8QMa, Rockchip
7191  RK3328, Rockchip RK3368 and Rockchip RK3399 platforms have not been confirmed
7192  to be working after the removal of the deprecated interfaces although they do
7193  build.
7194
7195## [1.6.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.5..refs/tags/v1.6) (2018-09-21)
7196
7197### New Features
7198
7199- Addressing Speculation Security Vulnerabilities
7200
7201  - Implement static workaround for CVE-2018-3639 for AArch32 and AArch64
7202  - Add support for dynamic mitigation for CVE-2018-3639
7203  - Implement dynamic mitigation for CVE-2018-3639 on Cortex-A76
7204  - Ensure {{ SDEI }} handler executes with CVE-2018-3639 mitigation enabled
7205
7206- Introduce RAS handling on AArch64
7207
7208  - Some RAS extensions are mandatory for Armv8.2 CPUs, with others mandatory
7209    for Armv8.4 CPUs however, all extensions are also optional extensions to the
7210    base Armv8.0 architecture.
7211  - The Armv8 RAS Extensions introduced Standard Error Records which are a set
7212    of standard registers to configure RAS node policy and allow RAS Nodes to
7213    record and expose error information for error handling agents.
7214  - Capabilities are provided to support RAS Node enumeration and iteration
7215    along with individual interrupt registrations and fault injections support.
7216  - Introduce handlers for Uncontainable errors, Double Faults and EL3 External
7217    Aborts
7218
7219- Enable Memory Partitioning And Monitoring (MPAM) for lower EL's
7220
7221  - Memory Partitioning And Monitoring is an Armv8.4 feature that enables
7222    various memory system components and resources to define partitions.
7223    Software running at various ELs can then assign themselves to the desired
7224    partition to control their performance aspects.
7225  - When ENABLE_MPAM_FOR_LOWER_ELS is set to 1, EL3 allows lower ELs to access
7226    their own MPAM registers without trapping to EL3. This patch however,
7227    doesn't make use of partitioning in EL3; platform initialisation code should
7228    configure and use partitions in EL3 if required.
7229
7230- Introduce ROM Lib Feature
7231
7232  - Support combining several libraries into a self-called "romlib" image, that
7233    may be shared across images to reduce memory footprint. The romlib image is
7234    stored in ROM but is accessed through a jump-table that may be stored in
7235    read-write memory, allowing for the library code to be patched.
7236
7237- Introduce Backtrace Feature
7238
7239  - This function displays the backtrace, the current EL and security state to
7240    allow a post-processing tool to choose the right binary to interpret the
7241    dump.
7242  - Print backtrace in assert() and panic() to the console.
7243
7244- Code hygiene changes and alignment with MISRA C-2012 guideline with fixes
7245  addressing issues complying to the following rules:
7246
7247  - MISRA rules 4.9, 5.1, 5.3, 5.7, 8.2-8.5, 8.8, 8.13, 9.3, 10.1, 10.3-10.4,
7248    10.8, 11.3, 11.6, 12.1, 14.4, 15.7, 16.1-16.7, 17.7-17.8, 20.7, 20.10,
7249    20.12, 21.1, 21.15, 22.7
7250  - Clean up the usage of void pointers to access symbols
7251  - Increase usage of static qualifier to locally used functions and data
7252  - Migrated to use of u_register_t for register read/write to better match
7253    AArch32 and AArch64 type sizes
7254  - Use int-ll64 for both AArch32 and AArch64 to assist in consistent format
7255    strings between architectures
7256  - Clean up TF-A libc by removing non arm copyrighted implementations and
7257    replacing them with modified FreeBSD and SCC implementations
7258
7259- Various changes to support Clang linker and assembler
7260
7261  - The clang assembler/preprocessor is used when Clang is selected. However,
7262    the clang linker is not used because it is unable to link TF-A objects due
7263    to immaturity of clang linker functionality at this time.
7264
7265- Refactor support APIs into Libraries
7266
7267  - Evolve libfdt, mbed TLS library and standard C library sources as proper
7268    libraries that TF-A may be linked against.
7269
7270- CPU Enhancements
7271
7272  - Add CPU support for Cortex-Ares and Cortex-A76
7273  - Add AMU support for Cortex-Ares
7274  - Add initial CPU support for Cortex-Deimos
7275  - Add initial CPU support for Cortex-Helios
7276  - Implement dynamic mitigation for CVE-2018-3639 on Cortex-A76
7277  - Implement Cortex-Ares erratum 1043202 workaround
7278  - Implement DSU erratum 936184 workaround
7279  - Check presence of fix for errata 843419 in Cortex-A53
7280  - Check presence of fix for errata 835769 in Cortex-A53
7281
7282- Translation Tables Enhancements
7283
7284  - The xlat v2 library has been refactored in order to be reused by different
7285    TF components at different EL's including the addition of EL2. Some
7286    refactoring to make the code more generic and less specific to TF, in order
7287    to reuse the library outside of this project.
7288
7289- SPM Enhancements
7290
7291  - General cleanups and refactoring to pave the way to multiple partitions
7292    support
7293
7294- SDEI Enhancements
7295
7296  - Allow platforms to define explicit events
7297  - Determine client EL from NS context's SCR_EL3
7298  - Make dispatches synchronous
7299  - Introduce jump primitives for BL31
7300  - Mask events after CPU wakeup in {{ SDEI }} dispatcher to conform to the
7301    specification
7302
7303- Misc TF-A Core Common Code Enhancements
7304
7305  - Add support for eXecute In Place (XIP) memory in BL2
7306  - Add support for the SMC Calling Convention 2.0
7307  - Introduce External Abort handling on AArch64 External Abort routed to EL3
7308    was reported as an unhandled exception and caused a panic. This change
7309    enables Trusted Firmware-A to handle External Aborts routed to EL3.
7310  - Save value of ACTLR_EL1 implementation-defined register in the CPU context
7311    structure rather than forcing it to 0.
7312  - Introduce ARM_LINUX_KERNEL_AS_BL33 build option, which allows BL31 to
7313    directly jump to a Linux kernel. This makes for a quicker and simpler boot
7314    flow, which might be useful in some test environments.
7315  - Add dynamic configurations for BL31, BL32 and BL33 enabling support for
7316    Chain of Trust (COT).
7317  - Make TF UUID RFC 4122 compliant
7318
7319- New Platform Support
7320
7321  - Arm SGI-575
7322  - Arm SGM-775
7323  - Allwinner sun50i_64
7324  - Allwinner sun50i_h6
7325  - NXP QorIQ LS1043A
7326  - NXP i.MX8QX
7327  - NXP i.MX8QM
7328  - NXP i.MX7Solo WaRP7
7329  - TI K3
7330  - Socionext Synquacer SC2A11
7331  - Marvell Armada 8K
7332  - STMicroelectronics STM32MP1
7333
7334- Misc Generic Platform Common Code Enhancements
7335
7336  - Add MMC framework that supports both eMMC and SD card devices
7337
7338- Misc Arm Platform Common Code Enhancements
7339
7340  - Demonstrate PSCI MEM_PROTECT from el3_runtime
7341  - Provide RAS support
7342  - Migrate AArch64 port to the multi console driver. The old API is deprecated
7343    and will eventually be removed.
7344  - Move BL31 below BL2 to enable BL2 overlay resulting in changes in the layout
7345    of BL images in memory to enable more efficient use of available space.
7346  - Add cpp build processing for dtb that allows processing device tree with
7347    external includes.
7348  - Extend FIP io driver to support multiple FIP devices
7349  - Add support for SCMI AP core configuration protocol v1.0
7350  - Use SCMI AP core protocol to set the warm boot entrypoint
7351  - Add support to Mbed TLS drivers for shared heap among different BL images to
7352    help optimise memory usage
7353  - Enable non-secure access to UART1 through a build option to support a serial
7354    debug port for debugger connection
7355
7356- Enhancements for Arm Juno Platform
7357
7358  - Add support for TrustZone Media Protection 1 (TZMP1)
7359
7360- Enhancements for Arm FVP Platform
7361
7362  - Dynamic_config: remove the FVP dtb files
7363  - Set DYNAMIC_WORKAROUND_CVE_2018_3639=1 on FVP by default
7364  - Set the ability to dynamically disable Trusted Boot Board authentication to
7365    be off by default with DYN_DISABLE_AUTH
7366  - Add librom enhancement support in FVP
7367  - Support shared Mbed TLS heap between BL1 and BL2 that allow a reduction in
7368    BL2 size for FVP
7369
7370- Enhancements for Arm SGI/SGM Platform
7371
7372  - Enable ARM_PLAT_MT flag for SGI-575
7373  - Add dts files to enable support for dynamic config
7374  - Add RAS support
7375  - Support shared Mbed TLS heap for SGI and SGM between BL1 and BL2
7376
7377- Enhancements for Non Arm Platforms
7378
7379  - Raspberry Pi Platform
7380  - Hikey Platforms
7381  - Xilinx Platforms
7382  - QEMU Platform
7383  - Rockchip rk3399 Platform
7384  - TI Platforms
7385  - Socionext Platforms
7386  - Allwinner Platforms
7387  - NXP Platforms
7388  - NVIDIA Tegra Platform
7389  - Marvell Platforms
7390  - STMicroelectronics STM32MP1 Platform
7391
7392### Issues resolved since last release
7393
7394- No issues known at 1.5 release resolved in 1.6 release
7395
7396### Known Issues
7397
7398- DTB creation not supported when building on a Windows host. This step in the
7399  build process is skipped when running on a Windows host. Known issue from 1.5
7400  version.
7401
7402## [1.5.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.4..refs/tags/v1.5) (2018-03-20)
7403
7404### New features
7405
7406- Added new firmware support to enable RAS (Reliability, Availability, and
7407  Serviceability) functionality.
7408
7409  - Secure Partition Manager (SPM): A Secure Partition is a software execution
7410    environment instantiated in S-EL0 that can be used to implement simple
7411    management and security services. The SPM is the firmware component that is
7412    responsible for managing a Secure Partition.
7413
7414  - SDEI dispatcher: Support for interrupt-based {{ SDEI }} events and all
7415    interfaces as defined by the {{ SDEI }} specification v1.0, see
7416    [SDEI Specification]
7417
7418  - Exception Handling Framework (EHF): Framework that allows dispatching of EL3
7419    interrupts to their registered handlers which are registered based on their
7420    priorities. Facilitates firmware-first error handling policy where
7421    asynchronous exceptions may be routed to EL3.
7422
7423    Integrated the TSPD with EHF.
7424
7425- Updated PSCI support:
7426
7427  - Implemented PSCI v1.1 optional features `MEM_PROTECT` and `SYSTEM_RESET2`.
7428    The supported PSCI version was updated to v1.1.
7429
7430  - Improved PSCI STAT timestamp collection, including moving accounting for
7431    retention states to be inside the locks and fixing handling of wrap-around
7432    when calculating residency in AArch32 execution state.
7433
7434  - Added optional handler for early suspend that executes when suspending to a
7435    power-down state and with data caches enabled.
7436
7437    This may provide a performance improvement on platforms where it is safe to
7438    perform some or all of the platform actions from `pwr_domain_suspend` with
7439    the data caches enabled.
7440
7441- Enabled build option, BL2_AT_EL3, for BL2 to allow execution at EL3 without
7442  any dependency on TF BL1.
7443
7444  This allows platforms which already have a non-TF Boot ROM to directly load
7445  and execute BL2 and subsequent BL stages without need for BL1. This was not
7446  previously possible because BL2 executes at S-EL1 and cannot jump straight to
7447  EL3.
7448
7449- Implemented support for SMCCC v1.1, including `SMCCC_VERSION` and
7450  `SMCCC_ARCH_FEATURES`.
7451
7452  Additionally, added support for `SMCCC_VERSION` in PSCI features to enable
7453  discovery of the SMCCC version via PSCI feature call.
7454
7455- Added Dynamic Configuration framework which enables each of the boot loader
7456  stages to be dynamically configured at runtime if required by the platform.
7457  The boot loader stage may optionally specify a firmware configuration file
7458  and/or hardware configuration file that can then be shared with the next boot
7459  loader stage.
7460
7461  Introduced a new BL handover interface that essentially allows passing of 4
7462  arguments between the different BL stages.
7463
7464  Updated cert_create and fip_tool to support the dynamic configuration files.
7465  The COT also updated to support these new files.
7466
7467- Code hygiene changes and alignment with MISRA guideline:
7468
7469  - Fix use of undefined macros.
7470  - Achieved compliance with Mandatory MISRA coding rules.
7471  - Achieved compliance for following Required MISRA rules for the default build
7472    configurations on FVP and Juno platforms : 7.3, 8.3, 8.4, 8.5 and 8.8.
7473
7474- Added support for Armv8.2-A architectural features:
7475
7476  - Updated translation table set-up to set the CnP (Common not Private) bit for
7477    secure page tables so that multiple PEs in the same Inner Shareable domain
7478    can use the same translation table entries for a given stage of translation
7479    in a particular translation regime.
7480  - Extended the supported values of ID_AA64MMFR0_EL1.PARange to include the
7481    52-bit Physical Address range.
7482  - Added support for the Scalable Vector Extension to allow Normal world
7483    software to access SVE functionality but disable access to SVE, SIMD and
7484    floating point functionality from the Secure world in order to prevent
7485    corruption of the Z-registers.
7486
7487- Added support for Armv8.4-A architectural feature Activity Monitor Unit (AMU)
7488
7489  extensions.
7490
7491  In addition to the v8.4 architectural extension, AMU support on Cortex-A75 was
7492  implemented.
7493
7494- Enhanced OP-TEE support to enable use of pageable OP-TEE image. The Arm
7495  standard platforms are updated to load up to 3 images for OP-TEE; header,
7496  pager image and paged image.
7497
7498  The chain of trust is extended to support the additional images.
7499
7500- Enhancements to the translation table library:
7501
7502  - Introduced APIs to get and set the memory attributes of a region.
7503  - Added support to manage both privilege levels in translation regimes that
7504    describe translations for 2 Exception levels, specifically the EL1&0
7505    translation regime, and extended the memory map region attributes to include
7506    specifying Non-privileged access.
7507  - Added support to specify the granularity of the mappings of each region, for
7508    instance a 2MB region can be specified to be mapped with 4KB page tables
7509    instead of a 2MB block.
7510  - Disabled the higher VA range to avoid unpredictable behaviour if there is an
7511    attempt to access addresses in the higher VA range.
7512  - Added helpers for Device and Normal memory MAIR encodings that align with
7513    the Arm Architecture Reference Manual for Armv8-A (Arm DDI0487B.b).
7514  - Code hygiene including fixing type length and signedness of constants,
7515    refactoring of function to enable the MMU, removing all instances where the
7516    virtual address space is hardcoded and added comments that document
7517    alignment needed between memory attributes and attributes specified in
7518    TCR_ELx.
7519
7520- Updated GIC support:
7521
7522  - Introduce new APIs for GICv2 and GICv3 that provide the capability to
7523    specify interrupt properties rather than list of interrupt numbers alone.
7524    The Arm platforms and other upstream platforms are migrated to use interrupt
7525    properties.
7526
7527  - Added helpers to save / restore the GICv3 context, specifically the
7528    Distributor and Redistributor contexts and architectural parts of the ITS
7529    power management. The Distributor and Redistributor helpers also support the
7530    implementation-defined part of GIC-500 and GIC-600.
7531
7532    Updated the Arm FVP platform to save / restore the GICv3 context on system
7533    suspend / resume as an example of how to use the helpers.
7534
7535    Introduced a new TZC secured DDR carve-out for use by Arm platforms for
7536    storing EL3 runtime data such as the GICv3 register context.
7537
7538- Added support for Armv7-A architecture via build option ARM_ARCH_MAJOR=7. This
7539  includes following features:
7540
7541  - Updates GICv2 driver to manage GICv1 with security extensions.
7542  - Software implementation for 32bit division.
7543  - Enabled use of generic timer for platforms that do not set
7544    ARM_CORTEX_Ax=yes.
7545  - Support for Armv7-A Virtualization extensions \[DDI0406C_C\].
7546  - Support for both Armv7-A platforms that only have 32-bit addressing and
7547    Armv7-A platforms that support large page addressing.
7548  - Included support for following Armv7 CPUs: Cortex-A12, Cortex-A17,
7549    Cortex-A7, Cortex-A5, Cortex-A9, Cortex-A15.
7550  - Added support in QEMU for Armv7-A/Cortex-A15.
7551
7552- Enhancements to Firmware Update feature:
7553
7554  - Updated the FWU documentation to describe the additional images needed for
7555    Firmware update, and how they are used for both the Juno platform and the
7556    Arm FVP platforms.
7557
7558- Enhancements to Trusted Board Boot feature:
7559
7560  - Added support to cert_create tool for RSA PKCS1# v1.5 and SHA384, SHA512 and
7561    SHA256.
7562  - For Arm platforms added support to use ECDSA keys.
7563  - Enhanced the mbed TLS wrapper layer to include support for both RSA and
7564    ECDSA to enable runtime selection between RSA and ECDSA keys.
7565
7566- Added support for secure interrupt handling in AArch32 sp_min, hardcoded to
7567  only handle FIQs.
7568
7569- Added support to allow a platform to load images from multiple boot sources,
7570  for example from a second flash drive.
7571
7572- Added a logging framework that allows platforms to reduce the logging level at
7573  runtime and additionally the prefix string can be defined by the platform.
7574
7575- Further improvements to register initialisation:
7576
7577  - Control register PMCR_EL0 / PMCR is set to prohibit cycle counting in the
7578    secure world. This register is added to the list of registers that are saved
7579    and restored during world switch.
7580  - When EL3 is running in AArch32 execution state, the Non-secure version of
7581    SCTLR is explicitly initialised during the warmboot flow rather than relying
7582    on the hardware to set the correct reset values.
7583
7584- Enhanced support for Arm platforms:
7585
7586  - Introduced driver for Shared-Data-Structure (SDS) framework which is used
7587    for communication between SCP and the AP CPU, replacing Boot-Over_MHU (BOM)
7588    protocol.
7589
7590    The Juno platform is migrated to use SDS with the SCMI support added in v1.3
7591    and is set as default.
7592
7593    The driver can be found in the plat/arm/css/drivers folder.
7594
7595  - Improved memory usage by only mapping TSP memory region when the TSPD has
7596    been included in the build. This reduces the memory footprint and avoids
7597    unnecessary memory being mapped.
7598
7599  - Updated support for multi-threading CPUs for FVP platforms - always check
7600    the MT field in MPDIR and access the bit fields accordingly.
7601
7602  - Support building for platforms that model DynamIQ configuration by
7603    implementing all CPUs in a single cluster.
7604
7605  - Improved nor flash driver, for instance clearing status registers before
7606    sending commands. Driver can be found plat/arm/board/common folder.
7607
7608- Enhancements to QEMU platform:
7609
7610  - Added support for TBB.
7611  - Added support for using OP-TEE pageable image.
7612  - Added support for LOAD_IMAGE_V2.
7613  - Migrated to use translation table library v2 by default.
7614  - Added support for SEPARATE_CODE_AND_RODATA.
7615
7616- Applied workarounds CVE-2017-5715 on Arm Cortex-A57, -A72, -A73 and -A75, and
7617  for Armv7-A CPUs Cortex-A9, -A15 and -A17.
7618
7619- Applied errata workaround for Arm Cortex-A57: 859972.
7620
7621- Applied errata workaround for Arm Cortex-A72: 859971.
7622
7623- Added support for Poplar 96Board platform.
7624
7625- Added support for Raspberry Pi 3 platform.
7626
7627- Added Call Frame Information (CFI) assembler directives to the vector entries
7628  which enables debuggers to display the backtrace of functions that triggered a
7629  synchronous abort.
7630
7631- Added ability to build dtb.
7632
7633- Added support for pre-tool (cert_create and fiptool) image processing enabling
7634  compression of the image files before processing by cert_create and fiptool.
7635
7636  This can reduce fip size and may also speed up loading of images. The image
7637  verification will also get faster because certificates are generated based on
7638  compressed images.
7639
7640  Imported zlib 1.2.11 to implement gunzip() for data compression.
7641
7642- Enhancements to fiptool:
7643
7644  - Enabled the fiptool to be built using Visual Studio.
7645  - Added padding bytes at the end of the last image in the fip to be facilitate
7646    transfer by DMA.
7647
7648### Issues resolved since last release
7649
7650- TF-A can be built with optimisations disabled (-O0).
7651- Memory layout updated to enable Trusted Board Boot on Juno platform when
7652  running TF-A in AArch32 execution mode (resolving [tf-issue#501]).
7653
7654### Known Issues
7655
7656- DTB creation not supported when building on a Windows host. This step in the
7657  build process is skipped when running on a Windows host.
7658
7659## [1.4.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.3..refs/tags/v1.4) (2017-07-07)
7660
7661### New features
7662
7663- Enabled support for platforms with hardware assisted coherency.
7664
7665  A new build option HW_ASSISTED_COHERENCY allows platforms to take advantage of
7666  the following optimisations:
7667
7668  - Skip performing cache maintenance during power-up and power-down.
7669  - Use spin-locks instead of bakery locks.
7670  - Enable data caches early on warm-booted CPUs.
7671
7672- Added support for Cortex-A75 and Cortex-A55 processors.
7673
7674  Both Cortex-A75 and Cortex-A55 processors use the Arm DynamIQ Shared Unit
7675  (DSU). The power-down and power-up sequences are therefore mostly managed in
7676  hardware, reducing complexity of the software operations.
7677
7678- Introduced Arm GIC-600 driver.
7679
7680  Arm GIC-600 IP complies with Arm GICv3 architecture. For FVP platforms, the
7681  GIC-600 driver is chosen when FVP_USE_GIC_DRIVER is set to FVP_GIC600.
7682
7683- Updated GICv3 support:
7684
7685  - Introduced power management APIs for GICv3 Redistributor. These APIs allow
7686    platforms to power down the Redistributor during CPU power on/off. Requires
7687    the GICv3 implementations to have power management operations.
7688
7689    Implemented the power management APIs for FVP.
7690
7691  - GIC driver data is flushed by the primary CPU so that secondary CPU do not
7692    read stale GIC data.
7693
7694- Added support for Arm System Control and Management Interface v1.0 (SCMI).
7695
7696  The SCMI driver implements the power domain management and system power
7697  management protocol of the SCMI specification (Arm DEN 0056ASCMI) for
7698  communicating with any compliant power controller.
7699
7700  Support is added for the Juno platform. The driver can be found in the
7701  plat/arm/css/drivers folder.
7702
7703- Added support to enable pre-integration of TBB with the Arm TrustZone
7704  CryptoCell product, to take advantage of its hardware Root of Trust and crypto
7705  acceleration services.
7706
7707- Enabled Statistical Profiling Extensions for lower ELs.
7708
7709  The firmware support is limited to the use of SPE in the Non-secure state and
7710  accesses to the SPE specific registers from S-EL1 will trap to EL3.
7711
7712  The SPE are architecturally specified for AArch64 only.
7713
7714- Code hygiene changes aligned with MISRA guidelines:
7715
7716  - Fixed signed / unsigned comparison warnings in the translation table
7717    library.
7718  - Added U(\_x) macro and together with the existing ULL(\_x) macro fixed some
7719    of the signed-ness defects flagged by the MISRA scanner.
7720
7721- Enhancements to Firmware Update feature:
7722
7723  - The FWU logic now checks for overlapping images to prevent execution of
7724    unauthenticated arbitrary code.
7725  - Introduced new FWU_SMC_IMAGE_RESET SMC that changes the image loading state
7726    machine to go from COPYING, COPIED or AUTHENTICATED states to RESET state.
7727    Previously, this was only possible when the authentication of an image
7728    failed or when the execution of the image finished.
7729  - Fixed integer overflow which addressed TFV-1: Malformed Firmware Update SMC
7730    can result in copy of unexpectedly large data into secure memory.
7731
7732- Introduced support for Arm Compiler 6 and LLVM (clang).
7733
7734  TF-A can now also be built with the Arm Compiler 6 or the clang compilers. The
7735  assembler and linker must be provided by the GNU toolchain.
7736
7737  Tested with Arm CC 6.7 and clang 3.9.x and 4.0.x.
7738
7739- Memory footprint improvements:
7740
7741  - Introduced `tf_snprintf`, a reduced version of `snprintf` which has support
7742    for a limited set of formats.
7743
7744    The mbedtls driver is updated to optionally use `tf_snprintf` instead of
7745    `snprintf`.
7746
7747  - The `assert()` is updated to no longer print the function name, and
7748    additional logging options are supported via an optional platform define
7749    `PLAT_LOG_LEVEL_ASSERT`, which controls how verbose the assert output is.
7750
7751- Enhancements to TF-A support when running in AArch32 execution state:
7752
7753  - Support booting SP_MIN and BL33 in AArch32 execution mode on Juno. Due to
7754    hardware limitations, BL1 and BL2 boot in AArch64 state and there is
7755    additional trampoline code to warm reset into SP_MIN in AArch32 execution
7756    state.
7757  - Added support for Arm Cortex-A53/57/72 MPCore processors including the
7758    errata workarounds that are already implemented for AArch64 execution state.
7759  - For FVP platforms, added AArch32 Trusted Board Boot support, including the
7760    Firmware Update feature.
7761
7762- Introduced Arm SiP service for use by Arm standard platforms.
7763
7764  - Added new Arm SiP Service SMCs to enable the Non-secure world to read PMF
7765    timestamps.
7766
7767    Added PMF instrumentation points in TF-A in order to quantify the overall
7768    time spent in the PSCI software implementation.
7769
7770  - Added new Arm SiP service SMC to switch execution state.
7771
7772    This allows the lower exception level to change its execution state from
7773    AArch64 to AArch32, or vice verse, via a request to EL3.
7774
7775- Migrated to use SPDX\[0\] license identifiers to make software license
7776  auditing simpler.
7777
7778  \:::\{note} Files that have been imported by FreeBSD have not been modified.
7779  \:::
7780
7781  \[0\]: <https://spdx.org/>
7782
7783- Enhancements to the translation table library:
7784
7785  - Added version 2 of translation table library that allows different
7786    translation tables to be modified by using different 'contexts'. Version 1
7787    of the translation table library only allows the current EL's translation
7788    tables to be modified.
7789
7790    Version 2 of the translation table also added support for dynamic regions;
7791    regions that can be added and removed dynamically whilst the MMU is enabled.
7792    Static regions can only be added or removed before the MMU is enabled.
7793
7794    The dynamic mapping functionality is enabled or disabled when compiling by
7795    setting the build option PLAT_XLAT_TABLES_DYNAMIC to 1 or 0. This can be
7796    done per-image.
7797
7798  - Added support for translation regimes with two virtual address spaces such
7799    as the one shared by EL1 and EL0.
7800
7801    The library does not support initializing translation tables for EL0
7802    software.
7803
7804  - Added support to mark the translation tables as non-cacheable using an
7805    additional build option `XLAT_TABLE_NC`.
7806
7807- Added support for GCC stack protection. A new build option
7808  ENABLE_STACK_PROTECTOR was introduced that enables compilation of all BL
7809  images with one of the GCC -fstack-protector-\* options.
7810
7811  A new platform function plat_get_stack_protector_canary() was introduced that
7812  returns a value used to initialize the canary for stack corruption detection.
7813  For increased effectiveness of protection platforms must provide an
7814  implementation that returns a random value.
7815
7816- Enhanced support for Arm platforms:
7817
7818  - Added support for multi-threading CPUs, indicated by `MT` field in MPDIR. A
7819    new build flag `ARM_PLAT_MT` is added, and when enabled, the functions
7820    accessing MPIDR assume that the `MT` bit is set for the platform and access
7821    the bit fields accordingly.
7822
7823    Also, a new API `plat_arm_get_cpu_pe_count` is added when `ARM_PLAT_MT` is
7824    enabled, returning the Processing Element count within the physical CPU
7825    corresponding to `mpidr`.
7826
7827  - The Arm platforms migrated to use version 2 of the translation tables.
7828
7829  - Introduced a new Arm platform layer API `plat_arm_psci_override_pm_ops`
7830    which allows Arm platforms to modify `plat_arm_psci_pm_ops` and therefore
7831    dynamically define PSCI capability.
7832
7833  - The Arm platforms migrated to use IMAGE_LOAD_V2 by default.
7834
7835- Enhanced reporting of errata workaround status with the following policy:
7836
7837  - If an errata workaround is enabled:
7838
7839    - If it applies (i.e. the CPU is affected by the errata), an INFO message is
7840      printed, confirming that the errata workaround has been applied.
7841    - If it does not apply, a VERBOSE message is printed, confirming that the
7842      errata workaround has been skipped.
7843
7844  - If an errata workaround is not enabled, but would have applied had it been,
7845    a WARN message is printed, alerting that errata workaround is missing.
7846
7847- Added build options ARM_ARCH_MAJOR and ARM_ARM_MINOR to choose the
7848  architecture version to target TF-A.
7849
7850- Updated the spin lock implementation to use the more efficient CAS (Compare
7851  And Swap) instruction when available. This instruction was introduced in
7852  Armv8.1-A.
7853
7854- Applied errata workaround for Arm Cortex-A53: 855873.
7855
7856- Applied errata workaround for Arm-Cortex-A57: 813419.
7857
7858- Enabled all A53 and A57 errata workarounds for Juno, both in AArch64 and
7859  AArch32 execution states.
7860
7861- Added support for Socionext UniPhier SoC platform.
7862
7863- Added support for Hikey960 and Hikey platforms.
7864
7865- Added support for Rockchip RK3328 platform.
7866
7867- Added support for NVidia Tegra T186 platform.
7868
7869- Added support for Designware emmc driver.
7870
7871- Imported libfdt v1.4.2 that addresses buffer overflow in fdt_offset_ptr().
7872
7873- Enhanced the CPU operations framework to allow power handlers to be registered
7874  on per-level basis. This enables support for future CPUs that have multiple
7875  threads which might need powering down individually.
7876
7877- Updated register initialisation to prevent unexpected behaviour:
7878
7879  - Debug registers MDCR-EL3/SDCR and MDCR_EL2/HDCR are initialised to avoid
7880    unexpected traps into the higher exception levels and disable secure
7881    self-hosted debug. Additionally, secure privileged external debug on Juno is
7882    disabled by programming the appropriate Juno SoC registers.
7883  - EL2 and EL3 configurable controls are initialised to avoid unexpected traps
7884    in the higher exception levels.
7885  - Essential control registers are fully initialised on EL3 start-up, when
7886    initialising the non-secure and secure context structures and when preparing
7887    to leave EL3 for a lower EL. This gives better alignment with the Arm ARM
7888    which states that software must initialise RES0 and RES1 fields with 0 / 1.
7889
7890- Enhanced PSCI support:
7891
7892  - Introduced new platform interfaces that decouple PSCI stat residency
7893    calculation from PMF, enabling platforms to use alternative methods of
7894    capturing timestamps.
7895  - PSCI stat accounting performed for retention/standby states when requested
7896    at multiple power levels.
7897
7898- Simplified fiptool to have a single linked list of image descriptors.
7899
7900- For the TSP, resolved corruption of pre-empted secure context by aborting any
7901  pre-empted SMC during PSCI power management requests.
7902
7903### Issues resolved since last release
7904
7905- TF-A can be built with the latest mbed TLS version (v2.4.2). The earlier
7906  version 2.3.0 cannot be used due to build warnings that the TF-A build system
7907  interprets as errors.
7908- TBBR, including the Firmware Update feature is now supported on FVP platforms
7909  when running TF-A in AArch32 state.
7910- The version of the AEMv8 Base FVP used in this release has resolved the issue
7911  of the model executing a reset instead of terminating in response to a
7912  shutdown request using the PSCI SYSTEM_OFF API.
7913
7914### Known Issues
7915
7916- Building TF-A with compiler optimisations disabled (-O0) fails.
7917- Trusted Board Boot currently does not work on Juno when running Trusted
7918  Firmware in AArch32 execution state due to error when loading the sp_min to
7919  memory because of lack of free space available. See [tf-issue#501] for more
7920  details.
7921- The errata workaround for A53 errata 843419 is only available from binutils
7922  2.26 and is not present in GCC4.9. If this errata is applicable to the
7923  platform, please use GCC compiler version of at least 5.0. See [PR#1002] for
7924  more details.
7925
7926## [1.3.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.2..refs/tags/v1.3) (2016-10-13)
7927
7928### New features
7929
7930- Added support for running TF-A in AArch32 execution state.
7931
7932  The PSCI library has been refactored to allow integration with **EL3 Runtime
7933  Software**. This is software that is executing at the highest secure privilege
7934  which is EL3 in AArch64 or Secure SVC/Monitor mode in AArch32. See
7935  \{ref}`PSCI Library Integration guide for Armv8-A AArch32 systems`.
7936
7937  Included is a minimal AArch32 Secure Payload, **SP-MIN**, that illustrates the
7938  usage and integration of the PSCI library with EL3 Runtime Software running in
7939  AArch32 state.
7940
7941  Booting to the BL1/BL2 images as well as booting straight to the Secure
7942  Payload is supported.
7943
7944- Improvements to the initialization framework for the PSCI service and Arm
7945  Standard Services in general.
7946
7947  The PSCI service is now initialized as part of Arm Standard Service
7948  initialization. This consolidates the initializations of any Arm Standard
7949  Service that may be added in the future.
7950
7951  A new function `get_arm_std_svc_args()` is introduced to get arguments
7952  corresponding to each standard service and must be implemented by the EL3
7953  Runtime Software.
7954
7955  For PSCI, a new versioned structure `psci_lib_args_t` is introduced to
7956  initialize the PSCI Library. **Note** this is a compatibility break due to the
7957  change in the prototype of `psci_setup()`.
7958
7959- To support AArch32 builds of BL1 and BL2, implemented a new, alternative
7960  firmware image loading mechanism that adds flexibility.
7961
7962  The current mechanism has a hard-coded set of images and execution order
7963  (BL31, BL32, etc). The new mechanism is data-driven by a list of image
7964  descriptors provided by the platform code.
7965
7966  Arm platforms have been updated to support the new loading mechanism.
7967
7968  The new mechanism is enabled by a build flag (`LOAD_IMAGE_V2`) which is
7969  currently off by default for the AArch64 build.
7970
7971  **Note** `TRUSTED_BOARD_BOOT` is currently not supported when `LOAD_IMAGE_V2`
7972  is enabled.
7973
7974- Updated requirements for making contributions to TF-A.
7975
7976  Commits now must have a 'Signed-off-by:' field to certify that the
7977  contribution has been made under the terms of the
7978  {download}`Developer Certificate of Origin <../dco.txt>`.
7979
7980  A signed CLA is no longer required.
7981
7982  The {ref}`Contributor's Guide` has been updated to reflect this change.
7983
7984- Introduced Performance Measurement Framework (PMF) which provides support for
7985  capturing, storing, dumping and retrieving time-stamps to measure the
7986  execution time of critical paths in the firmware. This relies on defining
7987  fixed sample points at key places in the code.
7988
7989- To support the QEMU platform port, imported libfdt v1.4.1 from
7990  <https://git.kernel.org/pub/scm/utils/dtc/dtc.git>
7991
7992- Updated PSCI support:
7993
7994  - Added support for PSCI NODE_HW_STATE API for Arm platforms.
7995  - New optional platform hook, `pwr_domain_pwr_down_wfi()`, in `plat_psci_ops`
7996    to enable platforms to perform platform-specific actions needed to enter
7997    powerdown, including the 'wfi' invocation.
7998  - PSCI STAT residency and count functions have been added on Arm platforms by
7999    using PMF.
8000
8001- Enhancements to the translation table library:
8002
8003  - Limited memory mapping support for region overlaps to only allow regions to
8004    overlap that are identity mapped or have the same virtual to physical
8005    address offset, and overlap completely but must not cover the same area.
8006
8007    This limitation will enable future enhancements without having to support
8008    complex edge cases that may not be necessary.
8009
8010  - The initial translation lookup level is now inferred from the virtual
8011    address space size. Previously, it was hard-coded.
8012
8013  - Added support for mapping Normal, Inner Non-cacheable, Outer Non-cacheable
8014    memory in the translation table library.
8015
8016    This can be useful to map a non-cacheable memory region, such as a DMA
8017    buffer.
8018
8019  - Introduced the MT_EXECUTE/MT_EXECUTE_NEVER memory mapping attributes to
8020    specify the access permissions for instruction execution of a memory region.
8021
8022- Enabled support to isolate code and read-only data on separate memory pages,
8023  allowing independent access control to be applied to each.
8024
8025- Enabled SCR_EL3.SIF (Secure Instruction Fetch) bit in BL1 and BL31 common
8026  architectural setup code, preventing fetching instructions from non-secure
8027  memory when in secure state.
8028
8029- Enhancements to FIP support:
8030
8031  - Replaced `fip_create` with `fiptool` which provides a more consistent and
8032    intuitive interface as well as additional support to remove an image from a
8033    FIP file.
8034  - Enabled printing the SHA256 digest with info command, allowing quick
8035    verification of an image within a FIP without having to extract the image
8036    and running sha256sum on it.
8037  - Added support for unpacking the contents of an existing FIP file into the
8038    working directory.
8039  - Aligned command line options for specifying images to use same naming
8040    convention as specified by TBBR and already used in cert_create tool.
8041
8042- Refactored the TZC-400 driver to also support memory controllers that
8043  integrate TZC functionality, for example Arm CoreLink DMC-500. Also added
8044  DMC-500 specific support.
8045
8046- Implemented generic delay timer based on the system generic counter and
8047  migrated all platforms to use it.
8048
8049- Enhanced support for Arm platforms:
8050
8051  - Updated image loading support to make SCP images (SCP_BL2 and SCP_BL2U)
8052    optional.
8053  - Enhanced topology description support to allow multi-cluster topology
8054    definitions.
8055  - Added interconnect abstraction layer to help platform ports select the right
8056    interconnect driver, CCI or CCN, for the platform.
8057  - Added support to allow loading BL31 in the TZC-secured DRAM instead of the
8058    default secure SRAM.
8059  - Added support to use a System Security Control (SSC) Registers Unit enabling
8060    TF-A to be compiled to support multiple Arm platforms and then select one at
8061    runtime.
8062  - Restricted mapping of Trusted ROM in BL1 to what is actually needed by BL1
8063    rather than entire Trusted ROM region.
8064  - Flash is now mapped as execute-never by default. This increases security by
8065    restricting the executable region to what is strictly needed.
8066
8067- Applied following erratum workarounds for Cortex-A57: 833471, 826977, 829520,
8068  828024 and 826974.
8069
8070- Added support for Mediatek MT6795 platform.
8071
8072- Added support for QEMU virtualization Armv8-A target.
8073
8074- Added support for Rockchip RK3368 and RK3399 platforms.
8075
8076- Added support for Xilinx Zynq UltraScale+ MPSoC platform.
8077
8078- Added support for Arm Cortex-A73 MPCore Processor.
8079
8080- Added support for Arm Cortex-A72 processor.
8081
8082- Added support for Arm Cortex-A35 processor.
8083
8084- Added support for Arm Cortex-A32 MPCore Processor.
8085
8086- Enabled preloaded BL33 alternative boot flow, in which BL2 does not load BL33
8087  from non-volatile storage and BL31 hands execution over to a preloaded BL33.
8088  The User Guide has been updated with an example of how to use this option with
8089  a bootwrapped kernel.
8090
8091- Added support to build TF-A on a Windows-based host machine.
8092
8093- Updated Trusted Board Boot prototype implementation:
8094
8095  - Enabled the ability for a production ROM with TBBR enabled to boot test
8096    software before a real ROTPK is deployed (e.g. manufacturing mode). Added
8097    support to use ROTPK in certificate without verifying against the platform
8098    value when `ROTPK_NOT_DEPLOYED` bit is set.
8099  - Added support for non-volatile counter authentication to the Authentication
8100    Module to protect against roll-back.
8101
8102- Updated GICv3 support:
8103
8104  - Enabled processor power-down and automatic power-on using GICv3.
8105  - Enabled G1S or G0 interrupts to be configured independently.
8106  - Changed FVP default interrupt driver to be the GICv3-only driver. **Note**
8107    the default build of TF-A will not be able to boot Linux kernel with GICv2
8108    FDT blob.
8109  - Enabled wake-up from CPU_SUSPEND to stand-by by temporarily re-routing
8110    interrupts and then restoring after resume.
8111
8112### Issues resolved since last release
8113
8114### Known issues
8115
8116- The version of the AEMv8 Base FVP used in this release resets the model
8117  instead of terminating its execution in response to a shutdown request using
8118  the PSCI `SYSTEM_OFF` API. This issue will be fixed in a future version of the
8119  model.
8120- Building TF-A with compiler optimisations disabled (`-O0`) fails.
8121- TF-A cannot be built with mbed TLS version v2.3.0 due to build warnings that
8122  the TF-A build system interprets as errors.
8123- TBBR is not currently supported when running TF-A in AArch32 state.
8124
8125## [1.2.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.1..refs/tags/v1.2) (2015-12-22)
8126
8127### New features
8128
8129- The Trusted Board Boot implementation on Arm platforms now conforms to the
8130  mandatory requirements of the TBBR specification.
8131
8132  In particular, the boot process is now guarded by a Trusted Watchdog, which
8133  will reset the system in case of an authentication or loading error. On Arm
8134  platforms, a secure instance of Arm SP805 is used as the Trusted Watchdog.
8135
8136  Also, a firmware update process has been implemented. It enables authenticated
8137  firmware to update firmware images from external interfaces to SoC
8138  Non-Volatile memories. This feature functions even when the current firmware
8139  in the system is corrupt or missing; it therefore may be used as a recovery
8140  mode.
8141
8142- Improvements have been made to the Certificate Generation Tool (`cert_create`)
8143  as follows.
8144
8145  - Added support for the Firmware Update process by extending the Chain of
8146    Trust definition in the tool to include the Firmware Update certificate and
8147    the required extensions.
8148  - Introduced a new API that allows one to specify command line options in the
8149    Chain of Trust description. This makes the declaration of the tool's
8150    arguments more flexible and easier to extend.
8151  - The tool has been reworked to follow a data driven approach, which makes it
8152    easier to maintain and extend.
8153
8154- Extended the FIP tool (`fip_create`) to support the new set of images involved
8155  in the Firmware Update process.
8156
8157- Various memory footprint improvements. In particular:
8158
8159  - The bakery lock structure for coherent memory has been optimised.
8160  - The mbed TLS SHA1 functions are not needed, as SHA256 is used to generate
8161    the certificate signature. Therefore, they have been compiled out, reducing
8162    the memory footprint of BL1 and BL2 by approximately 6 KB.
8163  - On Arm development platforms, each BL stage now individually defines the
8164    number of regions that it needs to map in the MMU.
8165
8166- Added the following new design documents:
8167
8168  - {ref}`Authentication Framework & Chain of Trust`
8169  - {ref}`Firmware Update (FWU)`
8170  - {ref}`CPU Reset`
8171  - {ref}`PSCI Power Domain Tree Structure`
8172
8173- Applied the new image terminology to the code base and documentation, as
8174  described in the {ref}`Image Terminology` document.
8175
8176- The build system has been reworked to improve readability and facilitate
8177  adding future extensions.
8178
8179- On Arm standard platforms, BL31 uses the boot console during cold boot but
8180  switches to the runtime console for any later logs at runtime. The TSP uses
8181  the runtime console for all output.
8182
8183- Implemented a basic NOR flash driver for Arm platforms. It programs the device
8184  using CFI (Common Flash Interface) standard commands.
8185
8186- Implemented support for booting EL3 payloads on Arm platforms, which reduces
8187  the complexity of developing EL3 baremetal code by doing essential baremetal
8188  initialization.
8189
8190- Provided separate drivers for GICv3 and GICv2. These expect the entire
8191  software stack to use either GICv2 or GICv3; hybrid GIC software systems are
8192  no longer supported and the legacy Arm GIC driver has been deprecated.
8193
8194- Added support for Juno r1 and r2. A single set of Juno TF-A binaries can run
8195  on Juno r0, r1 and r2 boards. Note that this TF-A version depends on a Linaro
8196  release that does *not* contain Juno r2 support.
8197
8198- Added support for MediaTek mt8173 platform.
8199
8200- Implemented a generic driver for Arm CCN IP.
8201
8202- Major rework of the PSCI implementation.
8203
8204  - Added framework to handle composite power states.
8205  - Decoupled the notions of affinity instances (which describes the
8206    hierarchical arrangement of cores) and of power domain topology, instead of
8207    assuming a one-to-one mapping.
8208  - Better alignment with version 1.0 of the PSCI specification.
8209
8210- Added support for the SYSTEM_SUSPEND PSCI API on Arm platforms. When invoked
8211  on the last running core on a supported platform, this puts the system into a
8212  low power mode with memory retention.
8213
8214- Unified the reset handling code as much as possible across BL stages. Also
8215  introduced some build options to enable optimization of the reset path on
8216  platforms that support it.
8217
8218- Added a simple delay timer API, as well as an SP804 timer driver, which is
8219  enabled on FVP.
8220
8221- Added support for NVidia Tegra T210 and T132 SoCs.
8222
8223- Reorganised Arm platforms ports to greatly improve code shareability and
8224  facilitate the reuse of some of this code by other platforms.
8225
8226- Added support for Arm Cortex-A72 processor in the CPU specific framework.
8227
8228- Provided better error handling. Platform ports can now define their own error
8229  handling, for example to perform platform specific bookkeeping or post-error
8230  actions.
8231
8232- Implemented a unified driver for Arm Cache Coherent Interconnects used for
8233  both CCI-400 & CCI-500 IPs. Arm platforms ports have been migrated to this
8234  common driver. The standalone CCI-400 driver has been deprecated.
8235
8236### Issues resolved since last release
8237
8238- The Trusted Board Boot implementation has been redesigned to provide greater
8239  modularity and scalability. See the
8240  \{ref}`Authentication Framework & Chain of Trust` document. All missing
8241  mandatory features are now implemented.
8242- The FVP and Juno ports may now use the hash of the ROTPK stored in the Trusted
8243  Key Storage registers to verify the ROTPK. Alternatively, a development public
8244  key hash embedded in the BL1 and BL2 binaries might be used instead. The
8245  location of the ROTPK is chosen at build-time using the `ARM_ROTPK_LOCATION`
8246  build option.
8247- GICv3 is now fully supported and stable.
8248
8249### Known issues
8250
8251- The version of the AEMv8 Base FVP used in this release resets the model
8252  instead of terminating its execution in response to a shutdown request using
8253  the PSCI `SYSTEM_OFF` API. This issue will be fixed in a future version of the
8254  model.
8255- While this version has low on-chip RAM requirements, there are further RAM
8256  usage enhancements that could be made.
8257- The upstream documentation could be improved for structural consistency,
8258  clarity and completeness. In particular, the design documentation is
8259  incomplete for PSCI, the TSP(D) and the Juno platform.
8260- Building TF-A with compiler optimisations disabled (`-O0`) fails.
8261
8262## [1.1.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v1.0..refs/tags/v1.1) (2015-02-04)
8263
8264### New features
8265
8266- A prototype implementation of Trusted Board Boot has been added. Boot loader
8267  images are verified by BL1 and BL2 during the cold boot path. BL1 and BL2 use
8268  the PolarSSL SSL library to verify certificates and images. The OpenSSL
8269  library is used to create the X.509 certificates. Support has been added to
8270  `fip_create` tool to package the certificates in a FIP.
8271
8272- Support for calling CPU and platform specific reset handlers upon entry into
8273  BL3-1 during the cold and warm boot paths has been added. This happens after
8274  another Boot ROM `reset_handler()` has already run. This enables a developer
8275  to perform additional actions or undo actions already performed during the
8276  first call of the reset handlers e.g. apply additional errata workarounds.
8277
8278- Support has been added to demonstrate routing of IRQs to EL3 instead of S-EL1
8279  when execution is in secure world.
8280
8281- The PSCI implementation now conforms to version 1.0 of the PSCI specification.
8282  All the mandatory APIs and selected optional APIs are supported. In
8283  particular, support for the `PSCI_FEATURES` API has been added. A capability
8284  variable is constructed during initialization by examining the `plat_pm_ops`
8285  and `spd_pm_ops` exported by the platform and the Secure Payload Dispatcher.
8286  This is used by the PSCI FEATURES function to determine which PSCI APIs are
8287  supported by the platform.
8288
8289- Improvements have been made to the PSCI code as follows.
8290
8291  - The code has been refactored to remove redundant parameters from internal
8292    functions.
8293  - Changes have been made to the code for PSCI `CPU_SUSPEND`, `CPU_ON` and
8294    `CPU_OFF` calls to facilitate an early return to the caller in case a
8295    failure condition is detected. For example, a PSCI `CPU_SUSPEND` call
8296    returns `SUCCESS` to the caller if a pending interrupt is detected early in
8297    the code path.
8298  - Optional platform APIs have been added to validate the `power_state` and
8299    `entrypoint` parameters early in PSCI `CPU_ON` and `CPU_SUSPEND` code paths.
8300  - PSCI migrate APIs have been reworked to invoke the SPD hook to determine the
8301    type of Trusted OS and the CPU it is resident on (if applicable). Also,
8302    during a PSCI `MIGRATE` call, the SPD hook to migrate the Trusted OS is
8303    invoked.
8304
8305- It is now possible to build TF-A without marking at least an extra page of
8306  memory as coherent. The build flag `USE_COHERENT_MEM` can be used to choose
8307  between the two implementations. This has been made possible through these
8308  changes.
8309
8310  - An implementation of Bakery locks, where the locks are not allocated in
8311    coherent memory has been added.
8312  - Memory which was previously marked as coherent is now kept coherent through
8313    the use of software cache maintenance operations.
8314
8315  Approximately, 4K worth of memory is saved for each boot loader stage when
8316  `USE_COHERENT_MEM=0`. Enabling this option increases the latencies associated
8317  with acquire and release of locks. It also requires changes to the platform
8318  ports.
8319
8320- It is now possible to specify the name of the FIP at build time by defining
8321  the `FIP_NAME` variable.
8322
8323- Issues with dependencies on the 'fiptool' makefile target have been rectified.
8324  The `fip_create` tool is now rebuilt whenever its source files change.
8325
8326- The BL3-1 runtime console is now also used as the crash console. The crash
8327  console is changed to SoC UART0 (UART2) from the previous FPGA UART0 (UART0)
8328  on Juno. In FVP, it is changed from UART0 to UART1.
8329
8330- CPU errata workarounds are applied only when the revision and part number
8331  match. This behaviour has been made consistent across the debug and release
8332  builds. The debug build additionally prints a warning if a mismatch is
8333  detected.
8334
8335- It is now possible to issue cache maintenance operations by set/way for a
8336  particular level of data cache. Levels 1-3 are currently supported.
8337
8338- The following improvements have been made to the FVP port.
8339
8340  - The build option `FVP_SHARED_DATA_LOCATION` which allowed relocation of
8341    shared data into the Trusted DRAM has been deprecated. Shared data is now
8342    always located at the base of Trusted SRAM.
8343  - BL2 Translation tables have been updated to map only the region of DRAM
8344    which is accessible to normal world. This is the region of the 2GB DDR-DRAM
8345    memory at 0x80000000 excluding the top 16MB. The top 16MB is accessible to
8346    only the secure world.
8347  - BL3-2 can now reside in the top 16MB of DRAM which is accessible only to the
8348    secure world. This can be done by setting the build flag
8349    `FVP_TSP_RAM_LOCATION` to the value `dram`.
8350
8351- Separate translation tables are created for each boot loader image. The
8352  `IMAGE_BLx` build options are used to do this. This allows each stage to
8353  create mappings only for areas in the memory map that it needs.
8354
8355- A Secure Payload Dispatcher (OPTEED) for the OP-TEE Trusted OS has been added.
8356  Details of using it with TF-A can be found in {ref}`OP-TEE Dispatcher`
8357
8358### Issues resolved since last release
8359
8360- The Juno port has been aligned with the FVP port as follows.
8361
8362  - Support for reclaiming all BL1 RW memory and BL2 memory by overlaying the
8363    BL3-1/BL3-2 NOBITS sections on top of them has been added to the Juno port.
8364  - The top 16MB of the 2GB DDR-DRAM memory at 0x80000000 is configured using
8365    the TZC-400 controller to be accessible only to the secure world.
8366  - The Arm GIC driver is used to configure the GIC-400 instead of using a GIC
8367    driver private to the Juno port.
8368  - PSCI `CPU_SUSPEND` calls that target a standby state are now supported.
8369  - The TZC-400 driver is used to configure the controller instead of direct
8370    accesses to the registers.
8371
8372- The Linux kernel version referred to in the user guide has DVFS and HMP
8373  support enabled.
8374
8375- DS-5 v5.19 did not detect Version 5.8 of the Cortex-A57-A53 Base FVPs in CADI
8376  server mode. This issue is not seen with DS-5 v5.20 and Version 6.2 of the
8377  Cortex-A57-A53 Base FVPs.
8378
8379### Known issues
8380
8381- The Trusted Board Boot implementation is a prototype. There are issues with
8382  the modularity and scalability of the design. Support for a Trusted Watchdog,
8383  firmware update mechanism, recovery images and Trusted debug is absent. These
8384  issues will be addressed in future releases.
8385- The FVP and Juno ports do not use the hash of the ROTPK stored in the Trusted
8386  Key Storage registers to verify the ROTPK in the `plat_match_rotpk()`
8387  function. This prevents the correct establishment of the Chain of Trust at the
8388  first step in the Trusted Board Boot process.
8389- The version of the AEMv8 Base FVP used in this release resets the model
8390  instead of terminating its execution in response to a shutdown request using
8391  the PSCI `SYSTEM_OFF` API. This issue will be fixed in a future version of the
8392  model.
8393- GICv3 support is experimental. There are known issues with GICv3
8394  initialization in the TF-A.
8395- While this version greatly reduces the on-chip RAM requirements, there are
8396  further RAM usage enhancements that could be made.
8397- The firmware design documentation for the Test Secure-EL1 Payload (TSP) and
8398  its dispatcher (TSPD) is incomplete. Similarly for the PSCI section.
8399- The Juno-specific firmware design documentation is incomplete.
8400
8401## [1.0.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v0.4..refs/tags/v1.0) (2014-08-28)
8402
8403### New features
8404
8405- It is now possible to map higher physical addresses using non-flat virtual to
8406  physical address mappings in the MMU setup.
8407
8408- Wider use is now made of the per-CPU data cache in BL3-1 to store:
8409
8410  - Pointers to the non-secure and secure security state contexts.
8411  - A pointer to the CPU-specific operations.
8412  - A pointer to PSCI specific information (for example the current power
8413    state).
8414  - A crash reporting buffer.
8415
8416- The following RAM usage improvements result in a BL3-1 RAM usage reduction
8417  from 96KB to 56KB (for FVP with TSPD), and a total RAM usage reduction across
8418  all images from 208KB to 88KB, compared to the previous release.
8419
8420  - Removed the separate `early_exception` vectors from BL3-1 (2KB code size
8421    saving).
8422  - Removed NSRAM from the FVP memory map, allowing the removal of one (4KB)
8423    translation table.
8424  - Eliminated the internal `psci_suspend_context` array, saving 2KB.
8425  - Correctly dimensioned the PSCI `aff_map_node` array, saving 1.5KB in the FVP
8426    port.
8427  - Removed calling CPU mpidr from the bakery lock API, saving 160 bytes.
8428  - Removed current CPU mpidr from PSCI common code, saving 160 bytes.
8429  - Inlined the mmio accessor functions, saving 360 bytes.
8430  - Fully reclaimed all BL1 RW memory and BL2 memory on the FVP port by
8431    overlaying the BL3-1/BL3-2 NOBITS sections on top of these at runtime.
8432  - Made storing the FP register context optional, saving 0.5KB per context (8KB
8433    on the FVP port, with TSPD enabled and running on 8 CPUs).
8434  - Implemented a leaner `tf_printf()` function, allowing the stack to be
8435    greatly reduced.
8436  - Removed coherent stacks from the codebase. Stacks allocated in normal memory
8437    are now used before and after the MMU is enabled. This saves 768 bytes per
8438    CPU in BL3-1.
8439  - Reworked the crash reporting in BL3-1 to use less stack.
8440  - Optimized the EL3 register state stored in the `cpu_context` structure so
8441    that registers that do not change during normal execution are re-initialized
8442    each time during cold/warm boot, rather than restored from memory. This
8443    saves about 1.2KB.
8444  - As a result of some of the above, reduced the runtime stack size in all BL
8445    images. For BL3-1, this saves 1KB per CPU.
8446
8447- PSCI SMC handler improvements to correctly handle calls from secure states and
8448  from AArch32.
8449
8450- CPU contexts are now initialized from the `entry_point_info`. BL3-1 fully
8451  determines the exception level to use for the non-trusted firmware (BL3-3)
8452  based on the SPSR value provided by the BL2 platform code (or otherwise
8453  provided to BL3-1). This allows platform code to directly run non-trusted
8454  firmware payloads at either EL2 or EL1 without requiring an EL2 stub or OS
8455  loader.
8456
8457- Code refactoring improvements:
8458
8459  - Refactored `fvp_config` into a common platform header.
8460  - Refactored the fvp gic code to be a generic driver that no longer has an
8461    explicit dependency on platform code.
8462  - Refactored the CCI-400 driver to not have dependency on platform code.
8463  - Simplified the IO driver so it's no longer necessary to call `io_init()` and
8464    moved all the IO storage framework code to one place.
8465  - Simplified the interface the the TZC-400 driver.
8466  - Clarified the platform porting interface to the TSP.
8467  - Reworked the TSPD setup code to support the alternate BL3-2 initialization
8468    flow where BL3-1 generic code hands control to BL3-2, rather than expecting
8469    the TSPD to hand control directly to BL3-2.
8470  - Considerable rework to PSCI generic code to support CPU specific operations.
8471
8472- Improved console log output, by:
8473
8474  - Adding the concept of debug log levels.
8475  - Rationalizing the existing debug messages and adding new ones.
8476  - Printing out the version of each BL stage at runtime.
8477  - Adding support for printing console output from assembler code, including
8478    when a crash occurs before the C runtime is initialized.
8479
8480- Moved up to the latest versions of the FVPs, toolchain, EDK2, kernel, Linaro
8481  file system and DS-5.
8482
8483- On the FVP port, made the use of the Trusted DRAM region optional at build
8484  time (off by default). Normal platforms will not have such a "ready-to-use"
8485  DRAM area so it is not a good example to use it.
8486
8487- Added support for PSCI `SYSTEM_OFF` and `SYSTEM_RESET` APIs.
8488
8489- Added support for CPU specific reset sequences, power down sequences and
8490  register dumping during crash reporting. The CPU specific reset sequences
8491  include support for errata workarounds.
8492
8493- Merged the Juno port into the master branch. Added support for CPU hotplug and
8494  CPU idle. Updated the user guide to describe how to build and run on the Juno
8495  platform.
8496
8497### Issues resolved since last release
8498
8499- Removed the concept of top/bottom image loading. The image loader now
8500  automatically detects the position of the image inside the current memory
8501  layout and updates the layout to minimize fragmentation. This resolves the
8502  image loader limitations of previously releases. There are currently no plans
8503  to support dynamic image loading.
8504- CPU idle now works on the publicized version of the Foundation FVP.
8505- All known issues relating to the compiler version used have now been resolved.
8506  This TF-A version uses Linaro toolchain 14.07 (based on GCC 4.9).
8507
8508### Known issues
8509
8510- GICv3 support is experimental. The Linux kernel patches to support this are
8511  not widely available. There are known issues with GICv3 initialization in the
8512  TF-A.
8513
8514- While this version greatly reduces the on-chip RAM requirements, there are
8515  further RAM usage enhancements that could be made.
8516
8517- The firmware design documentation for the Test Secure-EL1 Payload (TSP) and
8518  its dispatcher (TSPD) is incomplete. Similarly for the PSCI section.
8519
8520- The Juno-specific firmware design documentation is incomplete.
8521
8522- Some recent enhancements to the FVP port have not yet been translated into the
8523  Juno port. These will be tracked via the tf-issues project.
8524
8525- The Linux kernel version referred to in the user guide has DVFS and HMP
8526  support disabled due to some known instabilities at the time of this release.
8527  A future kernel version will re-enable these features.
8528
8529- DS-5 v5.19 does not detect Version 5.8 of the Cortex-A57-A53 Base FVPs in CADI
8530  server mode. This is because the `<SimName>` reported by the FVP in this
8531  version has changed. For example, for the Cortex-A57x4-A53x4 Base FVP, the
8532  `<SimName>` reported by the FVP is `FVP_Base_Cortex_A57x4_A53x4`, while DS-5
8533  expects it to be `FVP_Base_A57x4_A53x4`.
8534
8535  The temporary fix to this problem is to change the name of the FVP in
8536  `sw/debugger/configdb/Boards/ARM FVP/Base_A57x4_A53x4/cadi_config.xml`. Change
8537  the following line:
8538
8539  ```
8540  <SimName>System Generator:FVP_Base_A57x4_A53x4</SimName>
8541  ```
8542
8543  to System Generator:FVP_Base_Cortex-A57x4_A53x4
8544
8545  A similar change can be made to the other Cortex-A57-A53 Base FVP variants.
8546
8547## [0.4.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v0.3..refs/tags/v0.4) (2014-06-03)
8548
8549### New features
8550
8551- Makefile improvements:
8552
8553  - Improved dependency checking when building.
8554  - Removed `dump` target (build now always produces dump files).
8555  - Enabled platform ports to optionally make use of parts of the Trusted
8556    Firmware (e.g. BL3-1 only), rather than being forced to use all parts. Also
8557    made the `fip` target optional.
8558  - Specified the full path to source files and removed use of the `vpath`
8559    keyword.
8560
8561- Provided translation table library code for potential re-use by platforms
8562  other than the FVPs.
8563
8564- Moved architectural timer setup to platform-specific code.
8565
8566- Added standby state support to PSCI cpu_suspend implementation.
8567
8568- SRAM usage improvements:
8569
8570  - Started using the `-ffunction-sections`, `-fdata-sections` and
8571    `--gc-sections` compiler/linker options to remove unused code and data from
8572    the images. Previously, all common functions were being built into all
8573    binary images, whether or not they were actually used.
8574  - Placed all assembler functions in their own section to allow more unused
8575    functions to be removed from images.
8576  - Updated BL1 and BL2 to use a single coherent stack each, rather than one per
8577    CPU.
8578  - Changed variables that were unnecessarily declared and initialized as
8579    non-const (i.e. in the .data section) so they are either uninitialized (zero
8580    init) or const.
8581
8582- Moved the Test Secure-EL1 Payload (BL3-2) to execute in Trusted SRAM by
8583  default. The option for it to run in Trusted DRAM remains.
8584
8585- Implemented a TrustZone Address Space Controller (TZC-400) driver. A default
8586  configuration is provided for the Base FVPs. This means the model parameter
8587  `-C bp.secure_memory=1` is now supported.
8588
8589- Started saving the PSCI cpu_suspend 'power_state' parameter prior to
8590  suspending a CPU. This allows platforms that implement multiple power-down
8591  states at the same affinity level to identify a specific state.
8592
8593- Refactored the entire codebase to reduce the amount of nesting in header files
8594  and to make the use of system/user includes more consistent. Also split
8595  platform.h to separate out the platform porting declarations from the required
8596  platform porting definitions and the definitions/declarations specific to the
8597  platform port.
8598
8599- Optimized the data cache clean/invalidate operations.
8600
8601- Improved the BL3-1 unhandled exception handling and reporting. Unhandled
8602  exceptions now result in a dump of registers to the console.
8603
8604- Major rework to the handover interface between BL stages, in particular the
8605  interface to BL3-1. The interface now conforms to a specification and is more
8606  future proof.
8607
8608- Added support for optionally making the BL3-1 entrypoint a reset handler
8609  (instead of BL1). This allows platforms with an alternative image loading
8610  architecture to re-use BL3-1 with fewer modifications to generic code.
8611
8612- Reserved some DDR DRAM for secure use on FVP platforms to avoid future
8613  compatibility problems with non-secure software.
8614
8615- Added support for secure interrupts targeting the Secure-EL1 Payload (SP)
8616  (using GICv2 routing only). Demonstrated this working by adding an interrupt
8617  target and supporting test code to the TSP. Also demonstrated non-secure
8618  interrupt handling during TSP processing.
8619
8620### Issues resolved since last release
8621
8622- Now support use of the model parameter `-C bp.secure_memory=1` in the Base
8623  FVPs (see **New features**).
8624- Support for secure world interrupt handling now available (see **New
8625  features**).
8626- Made enough SRAM savings (see **New features**) to enable the Test Secure-EL1
8627  Payload (BL3-2) to execute in Trusted SRAM by default.
8628- The tested filesystem used for this release (Linaro AArch64 OpenEmbedded
8629  14.04) now correctly reports progress in the console.
8630- Improved the Makefile structure to make it easier to separate out parts of the
8631  TF-A for re-use in platform ports. Also, improved target dependency checking.
8632
8633### Known issues
8634
8635- GICv3 support is experimental. The Linux kernel patches to support this are
8636  not widely available. There are known issues with GICv3 initialization in the
8637  TF-A.
8638- Dynamic image loading is not available yet. The current image loader
8639  implementation (used to load BL2 and all subsequent images) has some
8640  limitations. Changing BL2 or BL3-1 load addresses in certain ways can lead to
8641  loading errors, even if the images should theoretically fit in memory.
8642- TF-A still uses too much on-chip Trusted SRAM. A number of RAM usage
8643  enhancements have been identified to rectify this situation.
8644- CPU idle does not work on the advertised version of the Foundation FVP. Some
8645  FVP fixes are required that are not available externally at the time of
8646  writing. This can be worked around by disabling CPU idle in the Linux kernel.
8647- Various bugs in TF-A, UEFI and the Linux kernel have been observed when using
8648  Linaro toolchain versions later than 13.11. Although most of these have been
8649  fixed, some remain at the time of writing. These mainly seem to relate to a
8650  subtle change in the way the compiler converts between 64-bit and 32-bit
8651  values (e.g. during casting operations), which reveals previously hidden bugs
8652  in client code.
8653- The firmware design documentation for the Test Secure-EL1 Payload (TSP) and
8654  its dispatcher (TSPD) is incomplete. Similarly for the PSCI section.
8655
8656## [0.3.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/refs/tags/v0.2..refs/tags/v0.3) (2014-02-28)
8657
8658### New features
8659
8660- Support for Foundation FVP Version 2.0 added. The documented UEFI
8661  configuration disables some devices that are unavailable in the Foundation
8662  FVP, including MMC and CLCD. The resultant UEFI binary can be used on the
8663  AEMv8 and Cortex-A57-A53 Base FVPs, as well as the Foundation FVP.
8664
8665  \:::\{note} The software will not work on Version 1.0 of the Foundation FVP.
8666  \:::
8667
8668- Enabled third party contributions. Added a new contributing.md containing
8669  instructions for how to contribute and updated copyright text in all files to
8670  acknowledge contributors.
8671
8672- The PSCI CPU_SUSPEND API has been stabilised to the extent where it can be
8673  used for entry into power down states with the following restrictions:
8674
8675  - Entry into standby states is not supported.
8676  - The API is only supported on the AEMv8 and Cortex-A57-A53 Base FVPs.
8677
8678- The PSCI AFFINITY_INFO api has undergone limited testing on the Base FVPs to
8679  allow experimental use.
8680
8681- Required C library and runtime header files are now included locally in TF-A
8682  instead of depending on the toolchain standard include paths. The local
8683  implementation has been cleaned up and reduced in scope.
8684
8685- Added I/O abstraction framework, primarily to allow generic code to load
8686  images in a platform-independent way. The existing image loading code has been
8687  reworked to use the new framework. Semi-hosting and NOR flash I/O drivers are
8688  provided.
8689
8690- Introduced Firmware Image Package (FIP) handling code and tools. A FIP
8691  combines multiple firmware images with a Table of Contents (ToC) into a single
8692  binary image. The new FIP driver is another type of I/O driver. The Makefile
8693  builds a FIP by default and the FVP platform code expect to load a FIP from
8694  NOR flash, although some support for image loading using semi- hosting is
8695  retained.
8696
8697  \:::\{note} Building a FIP by default is a non-backwards-compatible change. :::
8698
8699  \:::\{note} Generic BL2 code now loads a BL3-3 (non-trusted firmware) image
8700  into DRAM instead of expecting this to be pre-loaded at known location. This
8701  is also a non-backwards-compatible change. :::
8702
8703  \:::\{note} Some non-trusted firmware (e.g. UEFI) will need to be rebuilt so
8704  that it knows the new location to execute from and no longer needs to copy
8705  particular code modules to DRAM itself. :::
8706
8707- Reworked BL2 to BL3-1 handover interface. A new composite structure
8708  (bl31_args) holds the superset of information that needs to be passed from BL2
8709  to BL3-1, including information on how handover execution control to BL3-2 (if
8710  present) and BL3-3 (non-trusted firmware).
8711
8712- Added library support for CPU context management, allowing the saving and
8713  restoring of
8714
8715  - Shared system registers between Secure-EL1 and EL1.
8716  - VFP registers.
8717  - Essential EL3 system registers.
8718
8719- Added a framework for implementing EL3 runtime services. Reworked the PSCI
8720  implementation to be one such runtime service.
8721
8722- Reworked the exception handling logic, making use of both SP_EL0 and SP_EL3
8723  stack pointers for determining the type of exception, managing general purpose
8724  and system register context on exception entry/exit, and handling SMCs. SMCs
8725  are directed to the correct EL3 runtime service.
8726
8727- Added support for a Test Secure-EL1 Payload (TSP) and a corresponding
8728  Dispatcher (TSPD), which is loaded as an EL3 runtime service. The TSPD
8729  implements Secure Monitor functionality such as world switching and EL1
8730  context management, and is responsible for communication with the TSP.
8731
8732  \:::\{note} The TSPD does not yet contain support for secure world interrupts.
8733  \:::
8734
8735  \:::\{note} The TSP/TSPD is not built by default. :::
8736
8737### Issues resolved since last release
8738
8739- Support has been added for switching context between secure and normal worlds
8740  in EL3.
8741- PSCI API calls `AFFINITY_INFO` & `PSCI_VERSION` have now been tested (to a
8742  limited extent).
8743- The TF-A build artifacts are now placed in the `./build` directory and
8744  sub-directories instead of being placed in the root of the project.
8745- TF-A is now free from build warnings. Build warnings are now treated as
8746  errors.
8747- TF-A now provides C library support locally within the project to maintain
8748  compatibility between toolchains/systems.
8749- The PSCI locking code has been reworked so it no longer takes locks in an
8750  incorrect sequence.
8751- The RAM-disk method of loading a Linux file-system has been confirmed to work
8752  with the TF-A and Linux kernel version (based on version 3.13) used in this
8753  release, for both Foundation and Base FVPs.
8754
8755### Known issues
8756
8757The following is a list of issues which are expected to be fixed in the future
8758releases of TF-A.
8759
8760- The TrustZone Address Space Controller (TZC-400) is not being programmed yet.
8761  Use of model parameter `-C bp.secure_memory=1` is not supported.
8762- No support yet for secure world interrupt handling.
8763- GICv3 support is experimental. The Linux kernel patches to support this are
8764  not widely available. There are known issues with GICv3 initialization in
8765  TF-A.
8766- Dynamic image loading is not available yet. The current image loader
8767  implementation (used to load BL2 and all subsequent images) has some
8768  limitations. Changing BL2 or BL3-1 load addresses in certain ways can lead to
8769  loading errors, even if the images should theoretically fit in memory.
8770- TF-A uses too much on-chip Trusted SRAM. Currently the Test Secure-EL1 Payload
8771  (BL3-2) executes in Trusted DRAM since there is not enough SRAM. A number of
8772  RAM usage enhancements have been identified to rectify this situation.
8773- CPU idle does not work on the advertised version of the Foundation FVP. Some
8774  FVP fixes are required that are not available externally at the time of
8775  writing.
8776- Various bugs in TF-A, UEFI and the Linux kernel have been observed when using
8777  Linaro toolchain versions later than 13.11. Although most of these have been
8778  fixed, some remain at the time of writing. These mainly seem to relate to a
8779  subtle change in the way the compiler converts between 64-bit and 32-bit
8780  values (e.g. during casting operations), which reveals previously hidden bugs
8781  in client code.
8782- The tested filesystem used for this release (Linaro AArch64 OpenEmbedded
8783  14.01) does not report progress correctly in the console. It only seems to
8784  produce error output, not standard output. It otherwise appears to function
8785  correctly. Other filesystem versions on the same software stack do not exhibit
8786  the problem.
8787- The Makefile structure doesn't make it easy to separate out parts of the TF-A
8788  for re-use in platform ports, for example if only BL3-1 is required in a
8789  platform port. Also, dependency checking in the Makefile is flawed.
8790- The firmware design documentation for the Test Secure-EL1 Payload (TSP) and
8791  its dispatcher (TSPD) is incomplete. Similarly for the PSCI section.
8792
8793## [0.2.0](https://review.trustedfirmware.org/plugins/gitiles/TF-A/trusted-firmware-a/+/4b825dc642cb6eb9a060e54bf8d69288fbee4904..refs/tags/v0.2) (2013-10-25)
8794
8795### New features
8796
8797- First source release.
8798- Code for the PSCI suspend feature is supplied, although this is not enabled by
8799  default since there are known issues (see below).
8800
8801### Issues resolved since last release
8802
8803- The "psci" nodes in the FDTs provided in this release now fully comply with
8804  the recommendations made in the PSCI specification.
8805
8806### Known issues
8807
8808The following is a list of issues which are expected to be fixed in the future
8809releases of TF-A.
8810
8811- The TrustZone Address Space Controller (TZC-400) is not being programmed yet.
8812  Use of model parameter `-C bp.secure_memory=1` is not supported.
8813- No support yet for secure world interrupt handling or for switching context
8814  between secure and normal worlds in EL3.
8815- GICv3 support is experimental. The Linux kernel patches to support this are
8816  not widely available. There are known issues with GICv3 initialization in
8817  TF-A.
8818- Dynamic image loading is not available yet. The current image loader
8819  implementation (used to load BL2 and all subsequent images) has some
8820  limitations. Changing BL2 or BL3-1 load addresses in certain ways can lead to
8821  loading errors, even if the images should theoretically fit in memory.
8822- Although support for PSCI `CPU_SUSPEND` is present, it is not yet stable and
8823  ready for use.
8824- PSCI API calls `AFFINITY_INFO` & `PSCI_VERSION` are implemented but have not
8825  been tested.
8826- The TF-A make files result in all build artifacts being placed in the root of
8827  the project. These should be placed in appropriate sub-directories.
8828- The compilation of TF-A is not free from compilation warnings. Some of these
8829  warnings have not been investigated yet so they could mask real bugs.
8830- TF-A currently uses toolchain/system include files like stdio.h. It should
8831  provide versions of these within the project to maintain compatibility between
8832  toolchains/systems.
8833- The PSCI code takes some locks in an incorrect sequence. This may cause
8834  problems with suspend and hotplug in certain conditions.
8835- The Linux kernel used in this release is based on version 3.12-rc4. Using this
8836  kernel with the TF-A fails to start the file-system as a RAM-disk. It fails to
8837  execute user-space `init` from the RAM-disk. As an alternative, the
8838  VirtioBlock mechanism can be used to provide a file-system to the kernel.
8839
8840______________________________________________________________________
8841
8842*Copyright (c) 2013-2023, Arm Limited and Contributors. All rights reserved.*
8843
8844[mbed tls releases]: https://tls.mbed.org/tech-updates/releases
8845[pr#1002]: https://github.com/ARM-software/arm-trusted-firmware/pull/1002#issuecomment-312650193
8846[sdei specification]: http://infocenter.arm.com/help/topic/com.arm.doc.den0054a/ARM_DEN0054A_Software_Delegated_Exception_Interface.pdf
8847[tf-issue#501]: https://github.com/ARM-software/tf-issues/issues/501
8848